Part Number Hot Search : 
D1001 ERTEC M88RBB VC1G66 X46402 2SD18 ERTEC KBP155G
Product Description
Full Text Search
 

To Download LFECP3E-4FN256C Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  latticeecp/ec family data sheet version 01.4, december 2004
www.latticesemi.com 1-1 introduction_01.2 november 2004 preliminary data sheet ?2004 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. features extensive density and package options 1.5k to 41k lut4s 65 to 576 i/os density migration supported sysdsp block (latticeecp versions) high performance multiply and accumulate 4 to 10 blocks ? 4 to 10 36x36 multipliers or 16 to 40 18x18 multipliers or ? 32 to 80 9x9 multipliers embedded and distributed memory 18 kbits to 645 kbits sysmem embedded block ram (ebr) up to 163 kbits distributed ram flexible memory resources: ? distributed and block memory flexible i/o buffer programmable sysio buffer supports wide range of interfaces: ? lvcmos 3.3/2.5/1.8/1.5/1.2 ? lvttl ? sstl 3/2 class i, ii, sstl18 class i ? hstl 18 class i, ii, iii, hstl15 class i, iii ? pci ? lvds, bus-lvds, lvpecl, rsds dedicated ddr memory support implements interface up to ddr400 (200mhz) sysclock plls up to 4 analog plls per device clock multiply, divide and phase shifting system level support ieee standard 1149.1 boundary scan, plus isptracy internal logic analyzer capability spi boot ?sh interface 1.2v power supply low cost fpga features optimized for mainstream applications low cost tqfp and pqfp packaging table 1-1. latticeecp/ec family selection guide device lfec1 lfec3 lfec6/ lfecp6 lfec10/ lfecp10 lfec15/ lfecp15 lfec20/ lfecp20 lfec33/ lfecp33 pfu/pff rows 12 16 24 32 40 44 64 pfu/pff columns 16 24 32 40 48 56 64 pfus/pffs 192 384 768 1280 1920 2464 4096 luts (k) 1.5 3.1 6.1 10.2 15.4 19.7 32.8 distributed ram (kbits) 6 12 25 41 61 79 131 ebr sram (kbits) 18 55 92 277 350 424 535 ebr sram blocks 2 6 10 30 38 46 58 sysdsp blocks 1 45678 18x18 multipliers 1 16 20 24 28 32 v cc voltage (v) 1.2 1.2 1.2 1.2 1.2 1.2 1.2 number of plls 2224444 packages and i/o combinations: 100-pin tqfp (14 x 14 mm) 67 67 144-pin tqfp (20 x 20 mm) 97 97 97 208-pin pqfp (28 x 28 mm) 112 145 147 147 256-ball fpbga (17 x 17 mm) 160 195 195 195 484-ball fpbga (23 x 23 mm) 224 288 352 360 360 672-ball fpbga (27 x 27 mm) 400 496 1. latticeecp devices only. latticeecp/ec family data sheet introduction
1-2 introduction lattice semiconductor latticeecp/ec family data sheet introduction the latticeecp/ec family of fpga devices has been optimized to deliver mainstream fpga features at low cost. for maximum performance and value, the latticeecp (ec onomy p lus) fpga concept combines an ef?ient fpga fabric with high-speed dedicated functions. lattices ?st family to implement this approach is the latticeecp-dsp (ec onomy p lus dsp ) family, providing dedicated high-performance dsp blocks on-chip. the latticeec (ec on- omy) family supports all the general purpose features of latticeecp devices without dedicated function blocks to achieve lower cost solutions. the latticeecp/ec fpga fabric, which was designed from the outset with low cost in mind, contains all the critical fpga elements: lut-based logic, distributed and embedded memory, plls and support for mainstream i/os. dedicated ddr memory interface logic is also included to support this memory that is becoming increasingly prev- alent in cost-sensitive applications. the isplever design tool from lattice allows large complex designs to be ef?iently implemented using the latti- ceecp/ec family of fpga devices. synthesis library support for latticeecp/ec is available for popular logic syn- thesis tools. the isplever tool uses the synthesis tool output along with the constraints from its ?or planning tools to place and route the design in the latticeecp/ec device. the isplever tool extracts the timing from the routing and back-annotates it into the design for timing veri?ation. lattice provides many pre-designed ip (intellectual property) isplevercore modules for the latticeecp/ec family. by using these ips as standardized blocks, designers are free to concentrate on the unique aspects of their design, increasing their productivity.
www.latticesemi.com 2-1 architecture_01.4 december 2004 preliminary data sheet ?2004 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. architecture overview the latticeecp-dsp and latticeec architectures contain an array of logic blocks surrounded by programma- ble i/o cells (pic). interspersed between the rows of logic blocks are rows of sysmem embedded block ram (ebr) as shown in figures 2-1 and 2-2. in addition, latticeecp-dsp supports an additional row of dsp blocks as shown in figure 2-2. there are two kinds of logic blocks, the programmable functional unit (pfu) and programmable functional unit without ram/rom (pff). the pfu contains the building blocks for logic, arithmetic, ram, rom and register func- tions. the pff block contains building blocks for logic, arithmetic and rom functions. both pfu and pff blocks are optimized for ?xibility allowing complex designs to be implemented quickly and ef?iently. logic blocks are arranged in a two-dimensional array. only one type of block is used per row. the pfu blocks are used on the out- side rows. the rest of the core consists of rows of pff blocks interspersed with rows of pfu blocks. for every three rows of pff blocks there is a row of pfu blocks. each pic block encompasses two pios (pio pairs) with their respective sysio interfaces. pio pairs on the left and right edges of the device can be con?ured as lvds transmit/receive pairs. sysmem ebrs are large dedicated fast memory blocks. they can be con?ured as ram or rom. the pfu, pff, pic and ebr blocks are arranged in a two-dimensional grid with rows and columns as shown in figure 2-1. the blocks are connected with many vertical and horizontal routing channel resources. the place and route software tool automatically allocates these routing resources. at the end of the rows containing the sysmem blocks are the sysclock phase locked loop (pll) blocks. these plls have multiply, divide and phase shifting capability; they are used to manage the phase relationship of the clocks. the latticeecp/ec architecture provides up to four plls per device. every device in the family has a jtag port with internal logic analyzer (isptracy) capability. the sysconfig port which allows for serial or parallel device con?uration. the latticeecp/ec devices use 1.2v as their core volt- age. latticeecp/ec family data sheet architecture
2-2 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-1. simpli?d block diagram, latticeecp/ec device (top level) figure 2-2. simpli?d block diagram, latticeecp-dsp device (top level) programmable i/o cell (pic) includes sysio interface sysconfig programming port (includes dedicated and dual use pins) programmable functional unit (pfu) sysclock pll pff (pfu without ram) jtag port sysmem embedded block ram (ebr) programmable i/o cell (pic) includes sysio interface sysconfig programming port (includes dedicated and dual use pins) programmable functional unit (pfu) sysdsp block sysclock pll pff (fast pfu without ram/rom) jtag port sysmem embedded block ram (ebr)
2-3 architecture lattice semiconductor latticeecp/ec family data sheet pfu and pff blocks the core of the latticeecp/ec devices consists of pfu and pff blocks. the pfus can be programmed to perform logic, arithmetic, distributed ram and distributed rom functions. pff blocks can be programmed to perform logic, arithmetic and rom functions. except where necessary, the remainder of the data sheet will use the term pfu to refer to both pfu and pff blocks. each pfu block consists of four interconnected slices, numbered 0-3 as shown in figure 2-3. all the interconnec- tions to and from pfu blocks are from routing. there are 53 inputs and 25 outputs associated with each pfu block. figure 2-3. pfu diagram slice each slice contains two lut4 lookup tables feeding two registers (programmed to be in ff or latch mode), and some associated logic that allows the luts to be combined to perform functions such as lut5, lut6, lut7 and lut8. there is control logic to perform set/reset functions (programmable as synchronous/asynchronous), clock select, chip-select and wider ram/rom functions. figure 2-4 shows an overview of the internal logic of the slice. the registers in the slice can be con?ured for positive/negative and edge/level clocks. there are 14 input signals: 13 signals from routing and one from the carry-chain (from adjacent slice or pfu). there are 7 outputs: 6 to routing and one to carry-chain (to adjacent pfu). table 2-1 lists the signals associated with each slice. slice 0 lut4 & carry lut4 & carry ff/ latch d ff/ latch d slice 1 lut4 & carry lut4 & carry slice 2 lut4 & carry lut4 & carry from routing to routing slice 3 lut4 & carry lut4 & carry ff/ latch d ff/ latch d ff/ latch d ff/ latch d ff/ latch d ff/ latch d
2-4 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-4. slice diagram table 2-1. slice signal descriptions function type signal names description input data signal a0, b0, c0, d0 inputs to lut4 input data signal a1, b1, c1, d1 inputs to lut4 input multi-purpose m0 multipurpose input input multi-purpose m1 multipurpose input input control signal ce clock enable input control signal lsr local set/reset input control signal clk system clock input inter-pfu signal fcin fast carry in 1 output data signals f0, f1 lut4 output register bypass signals output data signals q0, q1 register outputs output data signals ofx0 output of a lut5 mux output data signals ofx1 output of a lut6, lut7, lut8 2 mux depending on the slice output inter-pfu signal fco for the right most pfu the fast carry chain output 1 1. see figure 2-3 for connection details. 2. requires two pfus. lut4 & carry lut4 & carry slice a0 b0 c0 d0 ff/ latch ofx0 f0 q0 a1 b1 c1 d1 ci ci co co f sum ce clk lsr ff/ latch ofx1 f1 q1 f sum d d m1 to / from different slice / pfu to / from different slice / pfu lut expansion mux m0 ofx0 from routing to routing control signals selected and inverted per slice in routing interslice signals are not shown
2-5 architecture lattice semiconductor latticeecp/ec family data sheet modes of operation each slice is capable of four modes of operation: logic, ripple, ram and rom. the slice in the pff is capable of all modes except ram. table 2-2 lists the modes and the capability of the slice blocks. table 2-2. slice modes logic mode: in this mode, the luts in each slice are con?ured as 4-input combinatorial lookup tables. a lut4 can have 16 possible input combinations. any logic function with four inputs can be generated by programming this lookup table. since there are two lut4s per slice, a lut5 can be constructed within one slice. larger lookup tables such as lut6, lut7 and lut8 can be constructed by concatenating other slices. ripple mode: ripple mode allows the ef?ient implementation of small arithmetic functions. in ripple mode, the fol- lowing functions can be implemented by each slice: addition 2-bit subtraction 2-bit add/subtract 2-bit using dynamic control up counter 2-bit down counter 2-bit ripple mode multiplier building block comparator functions of a and b inputs - a greater-than-or-equal-to b - a not-equal-to b - a less-than-or-equal-to b two additional signals: carry generate and carry propagate are generated per slice in this mode, allowing fast arithmetic functions to be constructed by concatenating slices. ram mode: in this mode, distributed ram can be constructed using each lut block as a 16x1-bit memory. through the combination of luts and slices, a variety of different memories can be constructed. the lattice design tools support the creation of a variety of different size memories. where appropriate, the soft- ware will construct these using distributed memory primitives that represent the capabilities of the pfu. table 2-3 shows the number of slices required to implement different distributed ram primitives. figure 2-5 shows the dis- tributed memory primitive block diagrams. dual port memories involve the pairing of two slices, one slice functions as the read-write port. the other companion slice supports the read-only port. for more information on using ram in latticeecp/ec devices, please see details of additional technical documentation at the end of this data sheet. table 2-3. number of slices required for implementing distributed ram logic ripple ram rom pfu slice lut 4x2 or lut 5x1 2-bit arithmetic unit spr16x2 rom16x1 x 2 pff slice lut 4x2 or lut 5x1 2-bit arithmetic unit n/a rom16x1 x 2 spr16x2 dpr16x2 number of slices 1 2 note: spr = single port ram, dpr = dual port ram
2-6 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-5. distributed memory primitives rom mode: the rom mode uses the same principal as the ram modes, but without the write port. pre-loading is accomplished through the programming interface during con?uration. pfu modes of operation slices can be combined within a pfu to form larger functions. table 2-4 tabulates these modes and documents the functionality possible at the pfu level. table 2-4. pfu modes of operation logic ripple ram 1 rom lut 4x8 or mux 2x1 x 8 2-bit add x 4 spr16x2 x 4 dpr16x2 x 2 rom16x1 x 8 lut 5x4 or mux 4x1 x 4 2-bit sub x 4 spr16x4 x 2 dpr16x4 x 1 rom16x2 x 4 lut 6x 2 or mux 8x1 x 2 2-bit counter x 4 spr16x8 x 1 rom16x4 x 2 lut 7x1 or mux 16x1 x 1 2-bit comp x 4 rom16x8 x 1 1. these modes are not available in pff blocks do1 do0 di0 di1 ad0 ad1 ad2 ad3 wre ck do0 ad0 ad1 ad2 ad3 dpr16x2 spr16x2 rom16x1 rdo1 rdo0 di0 di1 wck wre wdo1 wdo0 wad0 wad1 wad2 wad3 rad0 rad1 rad2 rad3
2-7 architecture lattice semiconductor latticeecp/ec family data sheet routing there are many resources provided in the latticeecp/ec devices to route signals individually or as busses with related control signals. the routing resources consist of switching circuitry, buffers and metal interconnect (routing) segments. the inter-pfu connections are made with x1 (spans two pfu), x2 (spans three pfu) and x6 (spans seven pfu). the x1 and x2 connections provide fast and ef?ient connections in horizontal and vertical directions. the x2 and x6 resources are buffered allowing both short and long connections routing between pfus. the isplever design tool takes the output of the synthesis tool and places and routes the design. generally, the place and route tool is completely automatic, although an interactive routing editor is available to optimize the design. clock distribution network the clock inputs are selected from external i/o, the sysclock plls or routing. these clock inputs are fed through the chip via a clock distribution system. primary clock sources latticeecp/ec devices derive clocks from three primary sources: pll outputs, dedicated clock inputs and routing. latticeecp/ec devices have two to four sysclock plls, located on the left and right sides of the device. there are four dedicated clock inputs, one on each side of the device. figure 2-6 shows the 20 primary clock sources. figure 2-6. primary clock sources from routing clock input from routing pll input clock input pll input pll input clock input pll input from routing clock input from routing pll pll pll pll 20 primary clock sources to quadrant clock selection note: smaller devices have two plls.
2-8 architecture lattice semiconductor latticeecp/ec family data sheet secondary clock sources latticeecp/ec devices have four secondary clock resources per quadrant. the secondary clock branches are tapped at every pfu. these secondary clock networks can also be used for controls and high fanout data. these secondary clocks are derived from four clock input pads and 16 routing signals as shown in figure 2-7. figure 2-7. secondary clock sources clock routing the clock routing structure in latticeecp/ec devices consists of four primary clock lines and a secondary clock network per quadrant. the primary clocks are generated from muxs located in each quadrant. figure 2-8 shows this clock routing. the four secondary clocks are generated from muxs located in each quadrant as shown in figure 2-9. each slice derives its clock from the primary clock lines, secondary clock lines and routing as shown in figure 2-10. 20 secondary clock sources to quadrant clock selection from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing
2-9 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-8. per quadrant primary clock selection figure 2-9. per quadrant secondary clock selection figure 2-10. slice clock selection sysclock phase locked loops (plls) the pll clock input, from pin or routing, feeds into an input clock divider. there are three sources of feedback sig- nal to the feedback divider: from the clkop, from the clock net, or from an external pin. there is a pll_lock sig- nal to indicate that vco has locked on to the input clock signal. figure 2-11 shows the sysclock pll diagram. the setup and hold times of the device can be improved by programming a delay in the feedback or input path of the pll which will advance or delay the output clock with reference to the input clock. this delay can be either pro- grammed during con?uration or can be adjusted dynamically. in dynamic mode, the pll may lose lock after 4 primary clocks (clk0, clk1, clk2, clk3) per quadrant 20 primary clock sources: 12 plls + 4 pios + 4 routing 1 dcs dcs 1. smaller devices have fewer pll related lines. 4 secondary clocks per quadrant 20 secondary clock feedlines : 4 clock input pads + 16 routing signals primary clock secondary clock routing clock to slice gnd 4 3
2-10 architecture lattice semiconductor latticeecp/ec family data sheet adjustment and not relock until the t lock parameter has been satis?d. additionally, the phase and duty cycle block allows the user to adjust the phase and duty cycle of the clkos output. the sysclock plls provide the ability to synthesize clock frequencies. each pll has four dividers associated with it: input clock divider, feedback divider, post scalar divider and secondary clock divider. the input clock divider is used to divide the input clock signal, while the feedback divider is used to multiply the input clock signal. the post scalar divider allows the vco to operate at higher frequencies than the clock output, thereby increasing the fre- quency range. the secondary divider is used to derive lower frequency outputs. figure 2-11. pll diagram figure 2-12 shows the available macros for the pll. table 2-5 provides signal description of the pll block. figure 2-12. pll primitive vco clkos clkok lock rst clkfb (from clkop, clock net or external pin) dynamic delay adjustment input clock divider (clki) feedback divider (clkfb) post scalar divider (clkop) phase/duty select secondary clock divider (clkok) delay adjust voltage controlled oscillator clki (from routing or external pin) clkop epllb clkop clki clkfb lock ehxpllb clkos clki clkfb clkok lock rst clkop ddaizr ddailag dda mode ddaidel[2:0] ddaozr ddaolag ddaodel[2:0]
2-11 architecture lattice semiconductor latticeecp/ec family data sheet table 2-5. pll signal descriptions for more information on the pll, please see details of additional technical documentation at the end of this data sheet. dynamic clock select (dcs) the dcs is a global clock buffer with smart multiplexer functions. it takes two independent input clock sources and outputs a clock signal without any glitches or runt pulses. this is achieved irrespective of where the select signal is toggled. there are eight dcs blocks per device, located in pairs at the center of each side. figure 2-13 illustrates the dcs block macro. figure 2-13. dcs block primitive figure 2-14 shows timing waveforms of the default dcs operating mode. the dcs block can be programmed to other modes. for more information on the dcs, please see details of additional technical documentation at the end of this data sheet. signal i/o description clki i clock input from external pin or routing clkfb i pll feedback input from clkop, clocknet, or external pin rst i ? to reset pll clkos o pll output clock to clock tree (phase shifted/duty cycle changed) clkop o pll output clock to clock tree (no phase shift) clkok o pll output to clock tree through secondary clock divider lock o ? indicates pll lock to clki ddamode i dynamic delay enable. ?? pin control (dynamic), ?? fuse control (static) ddaizr i dynamic delay zero. ?? delay = 0, ?? delay = on ddailag i dynamic delay lag/lead. ?? lead, ?? lag ddaidel[2:0] i dynamic delay input ddaozr o dynamic delay zero output ddaolag o dynamic delay lag/lead output ddaodel[2:0] o dynamic delay output dcs clk0 dcsout clk1 sel
2-12 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-14. dcs waveforms sysmem memory the latticeecp/ec family of devices contain a number of sysmem embedded block ram (ebr). the ebr con- sists of a 9-kbit ram, with dedicated input and output registers. sysmem memory block the sysmem block can implement single port, dual port or pseudo dual port memories. each block can be used in a variety of depths and widths as shown in table 2-6. table 2-6. sysmem block con?urations bus size matching all of the multi-port memory modes support different widths on each of the ports. the ram bits are mapped lsb word 0 to msb word 0, lsb word 1 to msb word 1 and so on. although the word size and number of words for each port varies, this mapping scheme applies to each port. ram initialization and rom operation if desired, the contents of the ram can be pre-loaded during device con?uration. by preloading the ram block during the chip con?uration cycle and disabling the write controls, the sysmem block can also be utilized as a rom. memory mode con?urations single port 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 512 x 18 256 x 36 true dual port 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 512 x 18 pseudo dual port 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 512 x 18 256 x 36 clk0 sel dcsout clk1
2-13 architecture lattice semiconductor latticeecp/ec family data sheet memory cascading larger and deeper blocks of rams can be created using ebr sysmem blocks. typically, the lattice design tools cascade memory transparently, based on speci? design inputs. single, dual and pseudo-dual port modes figure 2-15 shows the four basic memory con?urations and their input/output names. in all the sysmem ram modes the input data and address for the ports are registered at the input of the memory array. the output data of the memory is optionally registered at the output. figure 2-15. sysmem ebr primitives the ebr memory supports three forms of write behavior for single port or dual port operation: 1. normal ?data on the output appears only during read cycle. during a write cycle, the data (at the current address) does not appear on the output. 2. write through ?a copy of the input data appears at the output of the same port, during a write cycle. 3. read-before-write ?when new data is being written, the old content of the address appears at the output. memory core reset the memory array in the ebr utilizes latches at the a and b output ports. these latches can be reset asynchro- nously or synchronously. rsta and rstb are local signals, which reset the output latches associated with port a and port b respectively. the global reset (gsrn) signal resets both ports. the output data latches and associated resets for both ports are as shown in figure 2-16. ebr ad[12:0] di[35:0] clk ce rst we cs[2:0] do[35:0] single port ram ebr true dual port ram pseudo-dual port ram rom ad[12:0] clk ce do[35:0] rst cs[2:0] ebr ebr ada[12:0] dia[17:0] clka cea rsta wea csa[2:0] doa[17:0] adb[12:0] dib[17:0] clkb ceb rstb web csb[2:0] dob[17:0] adw[12:0] di[35:0] clkw cew adr[12:0] do[35:0] cer clkr we rst cs[2:0]
2-14 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-16. memory core reset for further information on sysmem ebr block, please see the details of additional technical documentation at the end of this data sheet. sysdsp block the latticeecp-dsp family provides a sysdsp block making it ideally suited for low cost, high performance digital signal processing (dsp) applications. typical functions used in these applications are finite impulse response (fir) ?ters; fast fourier transforms (fft) functions, correlators, reed-solomon/turbo/convolution encoders and decoders. these complex signal processing functions use similar building blocks such as multiply-adders and mul- tiply-accumulators. sysdsp block approach compare to general dsp conventional general-purpose dsp chips typically contain one to four (multiply and accumulate) mac units with ?ed data-width multipliers; this leads to limited parallelism and limited throughput. their throughput is increased by higher clock speeds. the latticeecp, on the other hand, has many dsp blocks that support different data-widths. this allows the designer to use highly parallel implementations of dsp functions. the designer can optimize the dsp performance vs. area by choosing appropriate level of parallelism. figure 2-17 compares the serial and the parallel implementations. q set d l clr output data latches memory core port a[17:0] q set d port b[17:0] rstb gsrn programmable disable rsta l clr
2-15 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-17. comparison of general dsp and latticeecp-dsp approaches sysdsp block capabilities the sysdsp block in the latticeecp-dsp family supports four functional elements in three 9, 18 and 36 data path widths. the user selects a function element for a dsp block and then selects the width and type (signed/unsigned) of its operands. the operands in the latticeecp-dsp family sysdsp blocks can be either signed or unsigned but not mixed within a function element. similarly, the operand widths cannot be mixed within a block. the resources in each sysdsp block can be con?ured to support the following four elements: mult (multiply) mac (multiply, accumulate) multadd (multiply, addition/subtraction) multaddsum (multiply, addition/subtraction, accumulate) the number of elements available in each block depends in the width selected from the three available options x9, x18, and x36. a number of these elements are concatenated for highly parallel implementations of dsp functions. table 2-1 shows the capabilities of the block. table 2-7. maximum number of elements in a block some options are available in four elements. the input register in all the elements can be directly loaded or can be loaded as shift register from previous operand registers. in addition by selecting ?ynamic operation in the ?igned/ unsigned options the operands can be switched between signed and unsigned on every cycle. similarly by select- ing ?ynamic operation in the ?dd/sub option the accumulator can be switched between addition and subtraction on every cycle. width of multiply x9 x18 x36 mult 8 4 1 mac 4 2 multadd 4 2 multaddsum 2 1 multiplier 0 operand a operand b operand a operand b operand a operand b multiplier 1 multiplier (k-1) accumulator output m/k loops single multiplier x xx x operand a accumulator operand b m loops function implemented in general purpose dsp function implemented in latticeecp
2-16 architecture lattice semiconductor latticeecp/ec family data sheet mult sysdsp element this multiplier element implements a multiply with no addition or accumulator nodes. the two operands, a and b, are multiplied and the result is available at the output. the user can enable the input/output and pipeline registers. figure 2-18 shows the mult sysdsp element. figure 2-18. mult sysdsp element mac sysdsp element in this case the two operands, a and b, are multiplied and the result is added with the previous accumulated value. this accumulated value is available at the output. the user can enable the input and pipeline registers but the out- put register is always enabled. the output register is used to store the accumulated value. a registered over?w signal is also available. the over?w conditions are provided later in this document. figure 2-19 shows the mac sysdsp element. figure 2-19. mac sysdsp element multiplier x n m m n m n m n n m m+n m+n (default) clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) pipeline register input register multiplier multiplicand signed shift register a in shift register b in shift register a out shift register b out output input data register a input data register b output register to multiplier multiplier x n m m+n (default) m+n+16 bits (default) m+n+16 bits (default) input data register b input data register a m n n n m n n m overflow register output register accumulator multiplier multiplicand signedab shift register a in shift register b in shift register a out shift register b out output addn accumsload pipeline register clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) input register pipeline register input register pipeline register input register pipeline register to accumulator to accumulator to accumulator overflow signal
2-17 architecture lattice semiconductor latticeecp/ec family data sheet multadd sysdsp element in this case, the operands a0 and b0 are multiplied and the result is added/subtracted with the result of the multi- plier operation of operands a1 and a2. the user can enable the input, output and pipeline registers. figure 2-20 shows the multadd sysdsp element. figure 2-20. multadd multaddsum sysdsp element in this case, the operands a0 and b0 are multiplied and the result is added/subtracted with the result of the multi- plier operation of operands a1 and b1. additionally the operands a2 and b2 are multiplied and the result is added/ subtracted with the result of the multiplier operation of operands a3 and b3. the result of both addition/subtraction are added in a summation block. the user can enable the input, output and pipeline registers. figure 2-21 shows the multaddsum sysdsp element. multiplier multiplier add/sub pipe reg pipe reg n m m n m n m n n m m+n (default) m+n+1 (default) m+n+1 (default) m+n (default) x x n m m n m n n m multiplier b0 multiplicand a0 multiplier b1 multiplicand a1 signed shift register a in shift register b in shift register a out shift register b out output addn pipeline register clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) input register pipeline register input register pipeline register pipeline register input data register a input data register a input data register b input data register b output register to add/sub to add/sub
2-18 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-21. multaddsum clock, clock enable and reset resources global clock, clock enable and reset signals from routing are available to every dsp block. four clock, reset and clock enable signals are selected for the sysdsp block. from four clock sources (clk0, clk1, clk2, clk3) one clock is selected for each input register, pipeline register and output register. similarly clock enable (ce) and reset (rst) are selected from their four respective sources (ce0, ce1, ce2, ce3 and rst0, rst1, rst2, rst3) at each input register, pipeline register and output register. signed and unsigned with different widths the dsp block supports different widths of signed and unsigned multipliers besides x9, x18 and x36 widths. for unsigned operands, unused upper data bits should be ?led to create a valid x9, x18 or x36 operand. for signed twos complement operands, sign extension of the most signi?ant bit should be performed until x9, x18 or x36 width is reached. table 2-8 provides an example of this. multiplier add/sub0 x n m m+n (default) m+n (default) m+n+1 m+n+2 m+n+2 m+n+1 m+n (default) m+n (default) m n m n m n n m x n n m n n m multiplier multiplier multiplier add/sub1 x n m m n m n m n n m x n m m n m n n m sum multiplier b0 multiplicand a0 multiplier b1 multiplicand a1 multiplier b2 multiplicand a2 multiplier b3 multiplicand a3 signed shift register b in output addn0 pipeline register clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) input register pipeline register input register to add/sub0 to add/sub0, add/sub1 pipeline register pipeline register input register to add/sub1 addn1 pipeline register pipeline register pipeline register shift register a in shift register b out shift register a out input data register a input data register a input data register a input data register a input data register b input data register b input data register b input data register b output register
2-19 architecture lattice semiconductor latticeecp/ec family data sheet table 2-8. an example of sign extension overflow flag from mac the sysdsp block provides an over?w output to indicate that the accumulator has over?wed. when two unsigned numbers are added and the result is a smaller number then accumulator roll over is said to occur and over?w signal is indicated. when two positive numbers are added with a negative sum and when two negative numbers are added with a positive sum, then the accumulator ?oll-over is said to have occurred and an over?w signal is indicated. note when over?w occurs the over?w ?g is present for only one cycle. by counting these over?w pulses in fpga logic, larger accumulators can be constructed. the conditions over?w signal for signed and unsigned operands are listed in figure 2-22. figure 2-22. accumulator over?w/under?w conditions isplever module manager the user can access the sysdsp block via the isplever module manager, which has options to con?ure each dsp module (or group of modules) or through direct hdl instantiation. additionally lattice has partnered math- works to support instantiation in the simulink tool, which is a graphical simulation environment. simulink works with isplever and dramatically shortens the dsp design cycle in lattice fpgas. number unsigned unsigned 9-bit unsigned 18-bit signed twos complement signed 9-bits twos complement signed 18-bits +5 0101 000000101 000000000000000101 0101 000000101 000000000000000101 -6 0110 000000110 000000000000000110 1010 111111010 111111111111111010 000000000 000000001 000000010 000000011 111111101 111111110 111111111 overflow signal is generated for one cycle when this boundary is crossed 0 +1 +2 +3 -3 -2 -1 unsigned operation signed operation 0101111111 0101111110 0101111101 0101111100 1010000010 1010000001 1010000000 255 254 253 252 254 255 256 000000000 000000001 000000010 000000011 111111101 111111110 111111111 carry signal is generated for one cycle when this boundary is crossed 0 1 2 3 509 510 511 0101111111 0101111110 0101111101 0101111100 1010000010 1010000001 1010000000 255 254 253 252 258 257 256
2-20 architecture lattice semiconductor latticeecp/ec family data sheet optimized dsp functions lattice provides a library of optimized dsp ip functions. some of the ips planned for latticeecp dsp are: bit cor- relators, fast fourier transform, finite impulse response (fir) filter, reed-solomon encoder/ decoder, turbo encoder/decoders and convolutional encoder/decoder. please contact lattice to obtain the latest list of available dsp ips. resources available in the latticeecp family table 2-9 shows the maximum number of multipliers for each member of the latticeecp family. table 2-10 shows the maximum available ebr ram blocks in each of the latticeecp family. ebr blocks, together with distributed ram can be used to store variables locally for the fast dsp operations. table 2-9. number of dsp blocks in latticeecp family table 2-10. embedded sram in latticeecp family dsp performance of the latticeecp family table 2-11 lists the maximum performance in millions of mac operations per second (mmac) for each member of the latticeecp family. table 2-11. dsp block performance of latticeecp family for further information on the sysdsp block, please see details of additional technical information at the end of this data sheet. programmable i/o cells (pic) each pic contains two pios connected to their respective sysio buffers which are then connected to the pads as shown in figure 2-23. the pio block supplies the output data (do) and the tri-state control signal (to) to sysio buffer, and receives input from the buffer. device dsp block 9x9 multiplier 18x18 multiplier 36x36 multiplier lfecp6 4 32 16 4 lfecp10 5 40 20 5 lfecp15 6 48 24 6 lfecp20 7 56 28 7 lfecp33 8 64 32 8 device ebr sram block total ebr sram (kbits) lfecp6 10 92 lfecp10 30 276 lfecp15 38 350 lfecp20 46 424 lfecp33 58 535 device dsp block dsp performance mmac lfecp6 4 3680 lfecp10 5 4600 lfecp15 6 5520 lfecp20 7 6440 lfecp33 8 7360
2-21 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-23. pic diagram two adjacent pios can be joined to provide a differential i/o pair (labeled as ? and ?? as shown in figure 2-24. the pad labels ? and ? distinguish the two pios. only the pio pairs on the left and right edges of the device can be con?ured as lvds transmit/receive pairs. one of every 16 pios contains a delay element to facilitate the generation of dqs signals. the dqs signal feeds the dqs bus which spans the set of 16 pios. figure 2-24 shows the assignment of dqs pins in each set of 16 pios. the exact dqs pins are shown in a dual function in the logic signal connections table at the end of this data sheet. additional detail is provided in the signal descriptions table at the end of this data sheet. the dqs signal from the bus is used to strobe the ddr data from the memory into input register blocks. this interface is designed for memories that support one dqs strobe per eight bits of data. pio b pada "t" padb "c" opos0 oneg0 opos1 oneg1 td inck indd inff ipos0 ipos1 clk ce lsr gsrn pio a sysio buffer dqs ddrclkpol iold0 iolt0 d0 ddrclk di ipos1 ipos0 inck indd inff d0 d1 td d1 output register block (2 flip flops) tristate register block (2 flip flops) ddrclk input register block (5 flip flops) clko clki ceo cei control muxes lsr gsr
2-22 architecture lattice semiconductor latticeecp/ec family data sheet table 2-12. pio signal list figure 2-24. dqs routing pio the pio contains four blocks: an input register block, output register block, tristate register block and a control logic block. these blocks contain registers for both single data rate (sdr) and double data rate (ddr) operation along with the necessary clock and selection logic. programmable delay lines used to shift incoming clock and data sig- nals are also included in these blocks. name type description ce0, ce1 control from the core clock enables for input and output block ffs. clk0, clk1 control from the core system clocks for input and output blocks. lsr control from the core local set/reset. gsrn control from routing global set/reset (active low). inck input to the core input to primary clock network or pll reference inputs. dqs input to pio dqs signal from logic (routing) to pio. indd input to the core unregistered data input to core. inff input to the core registered input on positive edge of the clock (clk0). ipos0, ipos1 input to the core ddrx registered inputs to the core. oneg0 control from the core output signals from the core for sdr and ddr operation. opos0, control from the core output signals from the core for ddr operation opos1 oneg1 tristate control from the core signals to tristate register block for ddr operation. td tristate control from the core tristate signal from the core used in sdr operation. ddrclkpol control from clock polarity bus controls the polarity of the clock (clk0) that feed the ddr input block. pio a pio b pada "t" padb "c" pio b pio a pio b pio a assigned dqs pin dqs sysio buffer lvds pair pada "t" padb "c" lvds pair pada "t" padb "c" lvds pair pio a pio b pada "t" padb "c" lvds pair pio a pio b pada "t" padb "c" lvds pair pio a pio b pada "t" padb "c" lvds pair pio a pio b pada "t" padb "c" lvds pair pio a pio b pada "t" padb "c" lvds pair delay
2-23 architecture lattice semiconductor latticeecp/ec family data sheet input register block the input register block contains delay elements and registers that can be used to condition signals before they are passed to the device core. figure 2-25 shows the diagram of the input register block. input signals are fed from the sysio buffer to the input register block (as signal di). if desired the input signal can bypass the register and delay elements and be used directly as a combinatorial signal (indd), a clock (inck) and in selected blocks the input to the dqs delay block. if one of the bypass options is not chosen, the signal ?st passes through an optional delay block. this delay, if selected, reduces input-register hold-time requirement when using a global clock. the input block allows two modes of operation. in the single data rate (sdr) the data is registered, by one of the registers in the single data rate sync register block, with the system clock. in the ddr mode two registers are used to sample the data on the positive and negative edges of the dqs signal creating two data streams, d0 and d2. these two data streams are synchronized with the system clock before entering the core. further discussion on this topic is in the ddr memory section of this data sheet. figure 2-26 shows the input register waveforms for ddr operation and figure 2-27 shows the design tool primi- tives. the sdr/sync registers have reset and clock enable available. the signal ddrclkpol controls the polarity of the clock used in the synchronization registers. it ensures ade- quate timing when data is transferred from the dqs to system clock domain. for further discussion on this topic, see the ddr memory section of this data sheet. figure 2-25. input register diagram d q d q d q d-type fixed delay to routing di (from sysio buffer) dqs delayed (from dqs bus) clk0 (from routing) ddrclkpol (from ddr polarity control bus) inck indd delay block ddr registers d-type d-type d q d q d-type /latch /latch d-type ipos0 ipos1 sdr & sync registers d0 d2 d1
2-24 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-26. input register ddr waveforms figure 2-27. inddrxb primitive output register block the output register block provides the ability to register signals from the core of the device before they are passed to the sysio buffers. the block contains a register for sdr operation that is combined with an additional latch for ddr operation. figure 2-28 shows the diagram of the output register block. in sdr mode, oneg0 feeds one of the ?p-?ps that then feeds the output. the ?p-?p can be con?ured a d- type or latch. in ddr mode, oneg0 is fed into one register on the positive edge of the clock and opos0 is latched. a multiplexer running off the same clock selects the correct register for feeding to the output (d0). figure 2-29 shows the design tool ddr primitives. the sdr output register has reset and clock enable available. the additional register for ddr operation does not have reset or clock enable available. abcde f bd di (in ddr mode) d0 d2 dqs a c dqs delayed iddrxb lsr qa d eclk qb ddrclkpol sclk ce
2-25 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-28. output register block figure 2-29. oddrxb primitive tristate register block the tristate register block provides the ability to register tri-state control signals from the core of the device before they are passed to the sysio buffers. the block contains a register for sdr operation and an additional latch for ddr operation. figure 2-30 shows the diagram of the tristate register block. in sdr mode, oneg1 feeds one of the ?p-?ps that then feeds the output. the ?p-?p can be con?ured a d- type or latch. in ddr mode, oneg1 is fed into one register on the positive edge of the clock and opos1 is latched. a multiplexer running off the same clock selects the correct register for feeding to the output (d0). d q d q d-type oneg0 from routing clk1 programmed control do latch le* *latch is transparent when input is low. opos0 outddn /latch 0 1 0 1 to sysio buffer oddrxb lsr q db clk da
2-26 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-30. tristate register block control logic block the control logic block allows the selection and modi?ation of control signals for use in the pio block. a clock is selected from one of the clock signals provided from the general purpose routing and a dqs signal provided from the programmable dqs pin. the clock can optionally be inverted. the clock enable and local reset signals are selected from the routing and optionally inverted. the global tristate signal is passed through this block. ddr memory support implementing high performance ddr memory interfaces requires dedicated ddr register structures in the input (for read operations) and in the output (for write operations). as indicated in the pio logic section, the ec devices provide this capability. in addition to these registers, the ec devices contain two elements to simplify the design of input structures for read operations: the dqs delay block and polarity control logic. dll calibrated dqs delay block source synchronous interfaces generally require the input clock to be adjusted in order to correctly capture data at the input register. for most interfaces a pll is used for this adjustment, however in ddr memories the clock (referred to as dqs) is not free running so this approach cannot be used. the dqs delay block provides the required clock alignment for ddr memory interfaces. the dqs signal (selected pios only) feeds from the pad through a dqs delay element to a dedicated dqs rout- ing resource. the dqs signal also feeds polarity control logic which controls the polarity of the clock to the sync registers in the input register blocks. figures 2-31 and 2-32 show how the dqs transition signals are routed to the pios. the temperature, voltage and process variations of the dqs delay block are compensated by a set of calibration (6-bit bus) signals from two dlls on opposite sides of the device. each dll compensates dqs delays in its half of the device as shown in figure 2-32. the dll loop is compensated for temperature, voltage and process variations by the system clock and feedback loop. d le* q d q d-type oneg1 clk1 programmed control to latch *latch is transparent when input is low. opos1 outddn /latch 0 1 0 1 from routing to sysio buffer td
2-27 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-31. dqs local bus. figure 2-32. dll calibration bus and dqs/dqs transition distribution di clki cei pio gsr dqs input register block ( 5 flip flops) to sync. reg. dqs to ddr reg. dqs strobe pa d ddr datain pa d sysio buffer di sysio buffer pio dqsdel polarity control logic dqs calibration bus from dll delay control bus polarity control bus dqs bus dll dll polarity control bus dqs bus delay control bus
2-28 architecture lattice semiconductor latticeecp/ec family data sheet polarity control logic in a typical ddr memory interface design, the phase relation between the incoming delayed dqs strobe and the internal system clock (during the read cycle) is unknown. the latticeecp/ec family contains dedicated circuits to transfer data between these domains. to prevent setup and hold violations at the domain transfer between dqs (delayed) and the system clock a clock polarity selector is used. this changes the edge on which the data is registered in the synchronizing registers in the input register block. this requires evaluation at the start of each read cycle for the correct clock polarity. prior to the read operation in ddr memories dqs is in tristate (pulled by termination). the ddr memory device drives dqs low at the start of the preamble state. a dedicated circuit detects this transition. this signal is used to control the polarity of the clock to the synchronizing registers. sysio buffer each i/o is associated with a ?xible buffer referred to as a sysio buffer. these buffers are arranged around the periphery of the device in eight groups referred to as banks. the sysio buffers allow users to implement the wide variety of standards that are found in todays systems including lvcmos, sstl, hstl, lvds and lvpecl. sysio buffer banks latticeecp/ec devices have eight sysio buffer banks; each is capable of supporting multiple i/o standards. each sysio bank has its own i/o supply voltage (v ccio ), and two voltage references v ref1 and v ref2 resources allow- ing each bank to be completely independent from each other. figure 2-33 shows the eight banks and their associ- ated supplies. in the latticeecp/ec devices, single-ended output buffers and ratioed input buffers (lvttl, lvcmos, pci and pci- x) are powered using v ccio. lvttl, lvcmos33, lvcmos25 and lvcmos12 can also be set as ?ed threshold input independent of v ccio. in addition to the bank v ccio supplies, the latticeecp/ec devices have a v cc core logic power supply, and a v ccaux supply that power all differential and referenced buffers. each bank can support up to two separate vref voltages, vref1 and vref2 that set the threshold for the refer- enced input buffers. in the latticeecp/ec devices, some dedicated i/o pins in a bank can be con?ured to be a reference voltage supply pin. each i/o is individually con?urable based on the banks supply and reference volt- ages.
2-29 architecture lattice semiconductor latticeecp/ec family data sheet figure 2-33. latticeecp/ec banks latticeecp/ec devices contain two types of sysio buffer pairs. 1. top and bottom sysio buffer pair (single-ended outputs only) the sysio buffer pairs in the top and bottom banks of the device consist of two single-ended output drivers and two sets of single-ended input buffers (both ratioed and referenced). the referenced input buffer can also be con?ured as a differential input. the two pads in the pair are described as ?rue and ?omp? where the true pad is associated with the positive side of the differential input buffer and the comp (complementary) pad is associated with the negative side of the differential input buffer. only the i/os on the top and bottom banks have pci clamp. 2. left and right sysio buffer pair (differential and single-ended outputs) the sysio buffer pairs in the left and right banks of the device consist of two single-ended output drivers, two sets of single-ended input buffers (both ratioed and referenced) and one differential output driver. the refer- enced input buffer can also be con?ured as a differential input. in these banks the two pads in the pair are described as ?rue and ?omp? where the true pad is associated with the positive side of the differential i/o, and the comp (complementary) pad is associated with the negative side of the differential i/o. only the left and right banks have lvds differential output drivers. supported standards the latticeecp/ec sysio buffer supports both single-ended and differential standards. single-ended standards can be further subdivided into lvcmos, lvttl and other standards. the buffers support the lvttl, lvcmos 1.2, 1.5, 1.8, 2.5 and 3.3v standards. in the lvcmos and lvttl modes, the buffer has individually con?urable v ref1(2) gnd bank 2 v ccio2 v ref2(2) v ref1(3) gnd bank 3 v ccio3 v ref2(3) v ref1(7) gnd bank 7 v ccio7 v ref2(7) v ref1(6) gnd note: n and m are the maximum number of i/os per bank. bank 6 v ccio6 v ref2(6) v ref1( 5) gnd bank 5 v ccio5 v ref2( 5) v ref1( 4) gnd bank 4 v ccio4 v ref2( 4) v ref1 ( 0) gnd bank 0 v ccio 0 v ref2( 0) v ref1 (1) gnd bank 1 v ccio 1 v ref2(1) m
2-30 architecture lattice semiconductor latticeecp/ec family data sheet options for drive strength, bus maintenance (weak pull-up, weak pull-down, or a bus-keeper latch) and open drain. other single-ended standards supported include sstl and hstl. differential standards supported include lvds, blvds, lvpecl, rsds, differential sstl and differential hstl. tables 2-13 and 2-14 show the i/o standards (together with their supply and reference voltages) supported by the latticeecp/ec devices. for further informa- tion on utilizing the sysio buffer to support a variety of standards please see the details of additional technical infor- mation at the end of this data sheet. table 2-13. supported input standards input standard v ref (nom.) v ccio 1 (nom.) single ended interfaces lvttl lvcmos33 2 lvcmos25 2 lvcmos18 1.8 lvcmos15 1.5 lvcmos12 2 pci 3.3 hstl18 class i, ii 0.9 hstl18 class iii 1.08 hstl15 class i 0.75 hstl15 class iii 0.9 sstl3 class i, ii 1.5 sstl2 class i, ii 1.25 sstl18 class i 0.9 differential interfaces differential sstl18 class i differential sstl2 class i, ii differential sstl3 class i, ii differential hstl15 class i, iii differential hstl18 class i, ii, iii lvds, lvpecl, blvds, rsds 1. when not speci?d v ccio can be set anywhere in the valid operating range. 2. jtag inputs do not have a ?ed threshold option and always follow v ccj.
2-31 architecture lattice semiconductor latticeecp/ec family data sheet table 2-14. supported output standards hot socketing the latticeecp/ec devices have been carefully designed to ensure predictable behavior during power-up and power-down. power supplies can be sequenced in any order. during power up and power-down sequences, the i/os remain in tristate until the power supply voltage is high enough to ensure reliable operation. in addition, leakage into i/o pins is controlled to within speci?d limits, this allows for easy integration with the rest of the system. these capabilities make the latticeecp/ec ideal for many multiple power supply and hot-swap applica- tions. recommended power up sequence : as described in the previous paragraph, the supplies can be sequenced in any order. however, once internal power good is achieved (determined by vcc, vccaux, vccio bank 3) the part releases i/os from tri-state and the management of i/os becomes the designers responsibility. to simplify a system design it is therefore recommended that supplies be sequenced vccio, vcc, vccaux. if vccio is tied to vcc or vccaux, then it is recommended that vccio and the associated power supply are powered up before the remaining supply. output standard drive v ccio (nom.) single-ended interfaces lvttl 4ma, 8ma, 12ma, 16ma, 20ma 3.3 lvcmos33 4ma, 8ma, 12ma 16ma, 20ma 3.3 lvcmos25 4ma, 8ma, 12ma, 16ma, 20ma 2.5 lvcmos18 4ma, 8ma, 12ma, 16ma 1.8 lvcmos15 4ma, 8ma 1.5 lvcmos12 2ma, 6ma 1.2 lvcmos33, open drain 4ma, 8ma, 12ma 16ma, 20ma lvcmos25, open drain 4ma, 8ma, 12ma 16ma, 20ma lvcmos18, open drain 4ma, 8ma, 12ma 16ma lvcmos15, open drain 4ma, 8ma lvcmos12, open drain 2ma, 6ma pci33 n/a 3.3 hstl18 class i, ii, iii n/a 1.8 hstl15 class i, iii n/a 1.5 sstl3 class i, ii n/a 3.3 sstl2 class i, ii n/a 2.5 sstl18 class i n/a 1.8 differential interfaces differential sstl3, class i, ii n/a 3.3 differential sstl2, class i, ii n/a 2.5 differential sstl18, class i n/a 1.8 differential hstl18, class i, ii, iii n/a 1.8 differential hstl15, class i, iii n/a 1.5 lvds n/a 2.5 blvds 1 n/a 2.5 lvpecl 1 n/a 3.3 rsds 1 n/a 2.5 1. emulated with external resistors.
2-32 architecture lattice semiconductor latticeecp/ec family data sheet con?uration and testing the following section describes the con?uration and testing features of the latticeecp/ec family of devices. ieee 1149.1-compliant boundary scan testability all latticeecp/ec devices have boundary scan cells that are accessed through an ieee 1149.1 compliant test access port (tap). this allows functional testing of the circuit board, on which the device is mounted, through a serial scan path that can access all critical logic nodes. internal registers are linked internally, allowing test data to be shifted in and loaded directly onto test nodes, or test data to be captured and shifted out for veri?ation. the test access port consists of dedicated i/os: tdi, tdo, tck and tms. the test access port has its own supply voltage v ccj and can operate with lvcmos3.3, 2.5, 1.8, 1.5 and 1.2 standards. for more details on boundary scan test, please see information regarding additional technical documentation at the end of this data sheet. device con?uration all latticeecp/ec devices contain two possible ports that can be used for device con?uration. the test access port (tap), which supports bit-wide con?uration, and the sysconfig port that supports both byte-wide and serial con?uration. the tap supports both the ieee std. 1149.1 boundary scan speci?ation and the ieee std. 1532 in-system con- ?uration speci?ation. the sysconfig port is a 20-pin interface with six of the i/os used as dedicated pins and the rest being dual-use pins. when sysconfig mode is not used, these dual-use pins are available for general purpose i/o. there are four con?uration options for latticeecp/ec devices: 1. industry standard spi memories. 2. industry standard byte wide ?sh and ispmach 4000 for control/addressing. 3. con?uration from system microprocessor via the con?uration bus or tap. 4. industry standard fpga board memory. on power-up, the fpga sram is ready to be con?ured with the sysconfig port active. the ieee 1149.1 serial mode can be activated any time after power-up by sending the appropriate command through the tap port. once a con?uration port is selected, that port is locked and another con?uration port cannot be activated until the next power-up sequence. for more information on device con?uration, please see details of additional technical documentation at the end of this data sheet. internal logic analyzer capability (isptracy) all latticeecp/ec devices support an internal logic analyzer diagnostic feature. the diagnostic features provide capabilities similar to an external logic analyzer, such as programmable event and trigger condition and deep trace memory. this feature is enabled by lattices isptracy. the isptracy utility is added into the user design at com- pile time. for more information on isptracy, please see information regarding additional technical documentation at the end of this data sheet. external resistor latticeecp/ec devices require a single external, 10k ohm +/- 1% value between the xres pin and ground. device con?uration will not be completed if this resistor is missing. there is no boundary scan register on the external resistor pad.
2-33 architecture lattice semiconductor latticeecp/ec family data sheet oscillator every latticeecp/ec device has an internal cmos oscillator which is used to derive a master serial clock for con- ?uration. the oscillator and the master serial clock run continuously. the default value of the master serial clock is 2.5mhz. table 2-15 lists all the available master serial clock frequencies. when a different master serial clock is selected during the design process, the following sequence takes place: 1. user selects a different master serial clock frequency. 2. during con?uration the device starts with the default (2.5mhz) master serial clock frequency. 3. the clock con?uration settings are contained in the early con?uration bit stream. 4. the master serial clock frequency changes to the selected frequency once the clock con?uration bits are received. for further information on the use of this oscillator for con?uration, please see details of additional technical docu- mentation at the end of this data sheet. table 2-15. selectable master serial clock (cclk) frequencies during con?uration density shifting the latticeecp/ec family has been designed to ensure that different density devices in the same package have the same pin-out. furthermore, the architecture ensures a high success rate when performing design migration from lower density parts to higher density parts. in many cases, it is also possible to shift a lower utilization design targeted for a high-density device to a lower density device. however, the exact details of the ?al resource utiliza- tion will impact the likely success in each case. cclk (mhz) cclk (mhz) cclk (mhz) 2.5* 13 45 4.3 15 51 5.4 20 55 6.9 26 60 8.1 30 130 9.2 34 10.0 41
www.latticesemi.com 3-1 dc and switching_01.2 november 2004 preliminary data sheet ?2004 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. recommended operating conditions absolute maximum ratings 1, 2, 3 1. stress above those listed under the ?bsolute maximum ratings may cause permanent damage to the device. functional operation of the device at these or any other conditions above those indicated in the operational sections of this speci?ation is not implied. 2. compliance with the lattice thermal management document is required. 3. all voltages referenced to gnd. supply voltage v cc . . . . . . . . . . . . . . . . . . . . . . . . -0.5 to 1.32v supply voltage v ccaux . . . . . . . . . . . . . . . . . . . . . -0.5 to 3.75v supply voltage v ccj . . . . . . . . . . . . . . . . . . . . . . . -0.5 to 3.75v output supply voltage v ccio . . . . . . . . . . . . . . . . -0.5 to 3.75v input voltage applied 4 . . . . . . . . . . . . . . . . . . . . . . -0.5 to 4.25v i/o tristate voltage applied 4 . . . . . . . . . . . . . . . . . -0.5 to 3.75v storage temperature (ambient) . . . . . . . . . . . . . . -65 to 150? junction temp. (tj) +125? 4. overshoot and undershoot of -2v to (v ihmax + 2) volts is permitted for a duration of <20ns. symbol parameter min. max. units v cc core supply voltage 1.14 1.26 v v ccaux auxiliary supply voltage 3.135 3.465 v v ccio 1, 2 i/o driver supply voltage 1.140 3.465 v v ccj 1 supply voltage for ieee 1149.1 test access port 1.140 3.465 v t jcom junction commercial operation 0 +85 ? t jind junction industrial operation -40 100 ? 1. if v ccio or v ccj is set to 1.2v, they must be connected to the same power supply as v cc. if v ccio or v ccj is set to 3.3v, they must be con- nected to the same power supply as v ccaux . 2. see recommended voltages by i/o standard in subsequent table. hot socketing speci?ations 1, 2, 3, 4 1. insensitive to sequence of v cc, v ccaux and v ccio . however, assumes monotonic rise/fall rates for v cc, v ccaux and v ccio. 2. 0 v cc v cc (max), 0 v ccio v ccio (max) or 0 v ccaux v ccaux (max). 3. i dk is additive to i pu, i pw or i bh . 4. lvcmos and lvttl only. symbol parameter condition min. typ. max units i dk input or i/o leakage current 0 v in v ih (max) +/-1000 ? latticeecp/ec family data sheet dc and switching characteristics
3-2 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet dc electrical characteristics over recommended operating conditions symbol parameter condition min. typ. max. units i il, i ih 1 input or i/o low leakage 0 v in (v ccio - 0.2v) 10 ? (v ccio - 0.2v) v in 3.6v 40 ? i pu i/o active pull-up current 0 v in 0.7 v ccio 30 150 ? i pd i/o active pull-down current v il (max) v in v ih (max) -30 -150 ? i bhls bus hold low sustaining current v in = v il (max) 30 ? i bhhs bus hold high sustaining current v in = 0.7v ccio -30 ? i bhlo bus hold low overdrive current 0 v in v ih (max) 150 ? i bhlh bus hold high overdrive current 0 v in v ih (max) -150 ? v bht bus hold trip points 0 v in v ih (max) v il (max) v ih (min) v c1 i/o capacitance 2 v ccio = 3.3v, 2.5v, 1.8v, 1.5v, 1.2v, v cc = 1.2v, v io = 0 to v ih (max) ?pf c2 dedicated input capacitance 2 v ccio = 3.3v, 2.5v, 1.8v, 1.5v, 1.2v, v cc = 1.2v, v io = 0 to v ih (max) ?pf 1. input or i/o leakage current is measured with the pin con?ured as an input or as an i/o with the output driver tri-stated. i t is not measured with the output driver active. bus maintenance circuits are disabled. 2. t a 25 o c, f = 1.0mhz
3-3 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet supply current (standby) 1, 2, 3, 4 over recommended operating conditions 1. for further information on supply current, please see details of additional technical documentation at the end of this data s heet. 2. assumes all outputs are tristated, all inputs are con?ured as lvcmos and held at the v ccio or gnd. 3. frequency 0mhz. 4. pattern represents typical design with 65% logic, 55% ebr, 10% routing utilization. symbol parameter devices typ. 5 5. t j =25 o c, power supplies at nominal voltage. max. units i cc core power supply current lfec1 ma lfec3 ma lfecp6/lfec6 ma lfecp10/lfec10 ma lfecp15/lfec15 ma lfecp20/lfec20 100 ma lfecp33/lfec33 ma i ccaux auxiliary power supply current lfec1 ma lfec3 ma lfecp6/lfec6 ma lfecp10/lfec10 ma lfecp15/lfec15 ma lfecp20/lfec20 15 ma lfecp33/lfec33 ma i ccpll pll power supply current (per pll) lfec1, lfec3, lfec6, lfecp6, lfecp10, lfecp15, lfecp20, lfecp33, lfec10, lfec15, lfec20, lfec33 8ma i ccio bank power supply current 6 6. per bank. 2ma i ccj v ccj power supply current 5 ma
3-4 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet initialization supply current 1, 2, 3, 4, 5, 6 over recommended operating conditions 1. until done signal is active. 2. for further information on supply current, please see details of additional technical documentation at the end of this data s heet. 3. assumes all outputs are tristated, all inputs are con?ured as lvcmos and held at the v ccio or gnd. 4. frequency 0mhz. 5. pattern represents typical design with 65% logic, 55% ebr, 10% routing utilization. 6. t j =25 o c, power supplies at nominal voltage. symbol parameter devices typ. 6 max. units i cc core power supply current lfec1 ma lfec3 ma lfecp6/lfec6 ma lfecp10/lfec10 ma lfecp15/lfec15 ma lfecp20/lfec20 150 ma lfecp33/lfec33 ma i ccaux auxiliary power supply current lfec1 ma lfec3 ma lfecp6/lfecp6 ma lfecp10/lfec10 ma lfecp15/lfec15 ma lfecp20/lfec20 25 ma lfecp33/lfec33 ma i ccpll pll power supply current (per pll) lfec1, lfec3, lfec6, lfecp6, lfecp10, lfecp15, lfecp20, lfecp33, lfec10, lfec15, lfec20, lfec33 12 ma i ccio bank power supply current 7 7. per bank. 5ma i ccj v ccj power supply current 10 ma
3-5 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet sysio recommended operating conditions v ccio v ref (v) standard min. typ. max. min. typ. max. lvcmos 3.3 3.135 3.3 3.465 lvcmos 2.5 2.375 2.5 2.625 lvcmos 1.8 1.71 1.8 1.89 lvcmos 1.5 1.425 1.5 1.575 lvcmos 1.2 1.14 1.2 1.26 lvttl 3.135 3.3 3.465 pci 3.135 3.3 3.465 sstl18 class i 1.71 2.5 1.89 1.15 1.25 1.35 sstl2 class i, ii 2.375 2.5 2.625 1.15 1.25 1.35 sstl3 class i, ii 3.135 3.3 3.465 1.3 1.5 1.7 hstl15 class i 1.425 1.5 1.575 0.68 0.75 0.9 hstl15 class iii 1.425 1.5 1.575 0.9 hstl 18 class i, ii 1.71 1.8 1.89 0.9 hstl 18 class iii 1.71 1.8 1.89 1.08 lvds 2.375 2.5 2.625 lvpecl 1 3.135 3.3 3.465 blvds 1 2.375 2.5 2.625 rsds 1 2.375 2.5 2.625 1. inputs on chip. outputs are implemented with the addition of external resistors.
3-6 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet sysio single-ended dc electrical characteristics input/output standard v il v ih v ol max. (v) v oh min. (v) i ol 1 (ma) i oh 1 (ma) min. (v) max. (v) min. (v) max. (v) lvcmos 3.3 -0.3 0.8 2.0 3.6 0.4 v ccio - 0.4 20, 16, 12, 8, 4 -20, -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvttl -0.3 0.8 2.0 3.6 0.4 v ccio - 0.4 20, 16, 12, 8, 4 -20, -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 2.5 -0.3 0.7 1.7 3.6 0.4 v ccio - 0.4 20, 16, 12, 8, 4 -20, -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.8 -0.3 0.35v ccio 0.65v ccio 3.6 0.4 v ccio - 0.4 16, 12, 8, 4 -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.5 -0.3 0.35v ccio 0.65v ccio 3.6 0.4 v ccio - 0.4 8, 4 -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.2 -0.3 0.35v cc 0.65v cc 3.6 0.4 v ccio - 0.4 6, 2 -6, -2 0.2 v ccio - 0.2 0.1 -0.1 pci -0.3 0.3v ccio 0.5v ccio 3.6 0.1v ccio 0.9v ccio 1.5 -0.5 sstl3 class i -0.3 v ref - 0.2 v ref + 0.2 3.6 0.7 v ccio - 1.1 8 -8 sstl3 class ii -0.3 v ref - 0.2 v ref + 0.2 3.6 0.5 v ccio - 0.9 16 -16 sstl2 class i -0.3 v ref - 0.18 v ref + 0.18 3.6 0.54 v ccio - 0.62 7.6 -7.6 sstl2 class ii -0.3 v ref - 0.18 v ref + 0.18 3.6 0.35 v ccio - 0.43 15.2 -15.2 sstl18 class i -0.3 v ref - 0.125 v ref + 0.125 3.6 0.4 v ccio - 0.4 6.7 -6.7 hstl15 class i -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 8 -8 hstl15 class iii -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 24 -8 hstl18 class i -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 9.6 -9.6 hstl18 class ii -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 16 -16 hstl18 class iii -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 24 -8 1. the average dc current drawn by i/os between gnd connections, or between the last gnd in an i/o bank and the end of an i/o ba nk, as shown in the logic signal connections table shall not exceed n * 8ma. where n is the number of i/os between bank gnd connection s or between the last gnd in a bank and the end of a bank. rev f 0.17
3-7 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet sysio differential electrical characteristics lvds over recommended operating conditions parameter symbol parameter description test conditions min. typ. max. units v inp, v inm input voltage 0 2.4 v v thd differential input threshold +/-100 mv v cm input common mode voltage 100mv v thd v thd /2 1.2 1.8 v 200mv v thd v thd /2 1.2 1.9 v 350mv v thd v thd /2 1.2 2.0 v i in input current power on or power off +/-10 ? v oh output high voltage for v op or v om r t = 100 ohm 1.38 1.60 v v ol output low voltage for v op or v om r t = 100 ohm 0.9v 1.03 v v od output voltage differential (v op - v om ), r t = 100 ohm 250 350 450 mv ? v od change in v od between high and low 50 mv v os output voltage offset (v op - v om )/2, r t = 100 ohm 1.125 1.25 1.375 v ? v os change in v os between h and l 50 mv i osd output short circuit current v od = 0v driver outputs shorted 6ma
3-8 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet differential hstl and sstl differential hstl and sstl outputs are implemented as a pair of complementary single-ended outputs. all allow- able single-ended output classes (class i and class ii) are supported in this mode. blvds the latticeecp/ec devices support blvds standard. this standard is emulated using complementary lvcmos outputs in conjunction with a parallel external resistor across the driver outputs. blvds is intended for use when multi-drop and bi-directional multi-point differential signaling is required. the scheme shown in figure 3-1 is one possible solution for bi-directional multi-point differential signals. figure 3-1. blvds multi-point output example table 3-1. blvds dc conditions 1 over recommended operating conditions typical parameter description zo = 45 zo = 90 units z out output impedance 100 100 ohm r tleft left end termination 45 90 ohm r tright right end termination 45 90 ohm v oh output high voltage 1.375 1.48 v v ol output low voltage 1.125 1.02 v v od output differential voltage 0.25 0.46 v v cm output common mode voltage 1.25 1.25 v i dc dc output current 11.2 10.2 ma 1. for input buffer, see lvds table. heavily loaded backplane, effective zo ~ 45 to 90 ohms differential 2.5v 80 80 80 80 80 80 45-90 ohms 45-90 ohms 80 2.5v 2.5v 2.5v 2.5v 2.5v 2.5v 2.5v + - . . . + - . . . + - + -
3-9 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet lvpecl the latticeecp/ec devices support differential lvpecl standard. this standard is emulated using complemen- tary lvcmos outputs in conjunction with a parallel resistor across the driver outputs. the scheme shown in figure 3-2 is one possible solution for point-to-point signals. figure 3-2. differential lvpecl table 3-2. lvpecl dc conditions 1 over recommended operating conditions for further information on lvpecl, blvds and other differential interfaces please see details of additional techni- cal information at the end of this data sheet. parameter description typical units z out output impedance 100 ohm r p driver parallel resistor 150 ohm r t receiver termination 100 ohm v oh output high voltage 2.03 v v ol output low voltage 1.27 v v od output differential voltage 0.76 v v cm output common mode voltage 1.65 v z back back impedance 85.7 ohm i dc dc output current 12.7 ma 1. for input buffer, see lvds table. transmission line, zo = 100 ohm differential 100 ohms 100 ohms 100 ohms off-chip 3.3v 3.3v + - ~150 ohms
3-10 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet rsds the latticeecp/ec devices support differential rsds standard. this standard is emulated using complementary lvcmos outputs in conjunction with a parallel resistor across the driver outputs. the scheme shown in figure 3-3 is one possible solution for rsds standard implementation. use lvds25e mode with suggested resistors for rsds operation. resistor values in figure 3-3 are industry standard values for 1% resistors. figure 3-3. rsds (reduced swing differential standard) table 3-3. rsds dc conditions 5v tolerant input buffer the input buffers of the latticeecp/ec family of devices can support 5v signals by using a pci clamp and an external series resistor as shown in figure 3-4. figure 3-4. 5 v tolerant input buffer parameter description typical units z out output impedance 20 ohm r s driver series resistor 294 ohm r p driver parallel resistor 121 ohm r t receiver termination 100 ohm v oh output high voltage 1.35 v v ol output low voltage 1.15 v v od output differential voltage 0.20 v v cm output common mode voltage 1.25 v z back back impedance 101.5 ohm i dc dc output current 3.66 ma 100 294 294 on-chip emulated rsds buffer vccio = 2.5v vccio = 2.5v zo = 100 + - 121 off-chip external resistor 5v signals from legacy systems v ccio
3-11 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet figure 3-5. typical pci clamp current volta g e ( v ) 100 200 300 400 50 0 1 0 2345678 150 250 350 current (ma)
3-12 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet typical building block function performance pin-to-pin performance (lvcmos25 12ma drive) function -5 timing units basic functions 16 bit decoder 6.2 ns 32 bit decoder 7.2 ns 64 bit decoder 7.7 ns 4:1 mux 4.8 ns 8:1 mux 5.1 ns 16:1 mux 6.1 ns 32:1 mux 6.5 ns combinatorial (pin to lut to pin) 5.3 ns register-to-register performance function -5 timing units basic functions 16 bit decoder 331 mhz 32 bit decoder 277 mhz 64 bit decoder 240 mhz 4:1 mux 727 mhz 8:1 mux 482 mhz 16:1 mux 439 mhz 32:1 mux 382 mhz 8-bit adder 391 mhz 16-bit adder 337 mhz 64-bit adder 190 mhz 16-bit counter 410 mhz 32-bit counter 315 mhz 64-bit counter 215 mhz 64-bit accumulator 155 mhz embedded memory functions 256x36 single port ram 280 mhz 512x18 true-dual port ram 280 mhz distributed memory functions 16x2 single port ram 549 mhz 64x2 single port ram 259 mhz 128x4 single port ram 205 mhz 32x2 pseudo-dual port ram 360 mhz 64x4 pseudo-dual port ram 301 mhz dsp function 1 9x9 pipelined multiply/accumulate 250 mhz 18x18 pipelined mutiply/accumulate 230 mhz 36x36 pipelined mutiply 210 mhz 1. applies to latticeecp devices only. 2. the above timing numbers were generated using isplever tool, exact performance may vary with design and tool version. the too l uses internal parameters that have been characterized but are not tested on every device.
3-13 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet derating timing tables logic timing provided in the following sections of the data sheet and the isplever design tools are worst-case numbers in the operating range. actual delays at nominal temperature and voltage for best-case process, can be much better than the values given in the tables. to calculate logic timing numbers at a particular temperature and voltage multiply the noted numbers with the derating factors provided below. the junction temperature for the fpga depends on the power dissipation by the device, the package thermal char- acteristics ( ja ), and the ambient temperature, as calculated with the following equation: t jmax = t amax + (power * ja ) the user must determine this temperature and then use it to determine the derating factor based on the following derating tables: t j ?. table 3-4. delay derating table for internal blocks t j ? commercial t j ? industrial power supply voltage 1.14v 1.2v 1.26v -40 0.82 0.77 0.71 -25 0.82 0.76 0.71 0 20 0.89 0.83 0.78 25 45 0.93 0.87 0.81 85 105 1.00 0.94 0.89 100 115 1.00 0.95 0.90 110 1.00 0.95 0.90 125 1.02 0.96 0.91
3-14 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet latticeecp/ec external switching characteristics over recommended operating conditions figure 3-6. ddr timings parameter description device -5 -4 -3 units min. max. min. max. min. max. general i/o pin parameters (using primary clock without pll) 1 t co clock to output - pio output register lfecp20/ec20 5.71 6.85 7.99 ns t su clock to data setup - pio input register lfecp20/ec20 0.00 0.00 0.00 ns t h clock to data hold - pio input register lfecp20/ec20 3.41 4.09 4.77 ns t su_del clock to data setup - pio input register with data input delay lfecp20/ec20 3.84 4.62 5.38 ns t h_del clock to data hold - pio input register with input data delay lfecp20/ec20 -0.44 -0.54 -0.61 ns f max_io lvds i/o buffer frequency lfecp20/ec20 420 378 340 mhz ddr i/o pin parameters 2, 3 t dvadq 4 data valid after dqs (ddr read) lfecp20/ec20 0.192 0.192 0.192 ui t dvedq 4 data hold after dqs (ddr read) lfecp20/ec20 0.668 0.668 0.668 ui t dqvbs data valid before dqs lfecp20/ec20 0.2 0.2 0.2 ui t dqvas data valid after dqs lfecp20/ec20 0.2 0.2 0.2 ui f max_ddr ddr clock frequency lfecp20/ec20 95 200 95 166 95 133 mhz primary and secondary clock f max_pri frequency for primary clock tree lfecp20/ec20 420 378 340 mhz t w_pri clock pulse width for primary clock lfecp20/ec20 1.19 1.19 1.19 ns t skew_pri primary clock skew within an i/o bank lfecp20/ec20 250 300 350 ps 1. general timing numbers based on lvcmos2.5v, 12 ma. 2. ddr timing numbers based on sstl i/o. 3. ddr speci?ations are characterized but not tested. 4. ui is average bit period. rev f 0.17 t dqvas t dqvbs dq and dqs write timings t dqs dq dqs dq dvedq t dvadq dq and dqs read timings
3-15 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet latticeecp/ec internal timing parameters 1 over recommended operating conditions parameter description -5 -4 -3 units min. max. min. max. min. max. pfu/pff logic mode timing t lut4_pfu lut4 delay (a to d inputs to f output) - 0.25 - 0.31 - 0.36 ns t lut6_pfu lut6 delay (a to d inputs to ofx output) - 0.55 - 0.66 - 0.77 ns t lsr_pfu set/reset to output of pfu - 0.81 - 0.98 - 1.14 ns t sum_pfu clock to mux (m0,m1) input setup time 0.08 - 0.10 - 0.11 - ns t hm_pfu clock to mux (m0,m1) input hold time -0.06 - -0.07 - -0.08 - ns t sud_pfu clock to d input setup time 0.11 - 0.14 - 0.16 - ns t hd_pfu clock to d input hold time -0.04 - -0.04 - -0.05 - ns t ck2q_pfu clock to q delay, d-type register con?ura- tion - 0.43 - 0.51 - 0.60 ns t le2q_pfu clock to q delay latch con?uration - 0.54 - 0.65 - 0.76 ns t ld2q_pfu d to q throughput delay when latch is enabled - 0.50 - 0.60 - 0.69 ns pfu memory mode timing t coram_pfu clock to output - 0.43 - 0.51 - 0.60 ns t sudata_pfu data setup time -0.25 - -0.30 - -0.34 - ns t hdata_pfu data hold time -0.06 - -0.07 - -0.08 - ns t suaddr_pfu address setup time -0.66 - -0.79 - -0.92 - ns t haddr_pfu address hold time -0.27 - -0.33 - -0.38 - ns t suwren_pfu write/read enable setup time -0.30 - -0.36 - -0.42 - ns t hwren_pfu write/read enable hold time -0.21 - -0.25 - -0.29 - ns pic timing pio input/output buffer timing t in_pio input buffer delay - 0.56 - 0.67 - 0.78 ns t out_pio output buffer delay - 2.07 - 2.49 - 2.90 ns iologic input/output timing t sui_pio input register setup time (data before clock) - 0.12 - 0.14 - 0.17 ns t hi_pio input register hold time (data after clock) - -0.09 - -0.11 - -0.13 ns t coo_pio output register clock to output delay - 0.82 - 0.98 - 1.15 ns t suce_pio input register clock enable setup time - -0.02 - -0.02 - -0.03 ns t hce_pio input register clock enable hold time - 0.12 - 0.14 - 0.17 ns t sulsr_pio set/reset setup time 0.10 - 0.12 - 0.14 - ns t hlsr_pio set/reset hold time -0.24 - -0.29 - -0.34 - ns ebr timing t co_ebr clock to output from address or data - 3.82 - 4.58 - 5.34 ns t coo_ebr clock to output from ebr output register - 0.74 - 0.88 - 1.03 ns t sudata_ebr setup data to ebr memory -0.34 - -0.41 - -0.48 - ns t hdata_ebr hold data to ebr memory 0.37 - 0.44 - 0.52 - ns t suaddr_ebr setup address to ebr memory -0.34 - -0.41 - -0.48 - ns t haddr_ebr hold address to ebr memory 0.37 - 0.45 - 0.52 - ns t suwren_ebr setup write/read enable to pfu memory -0.22 - -0.26 - -0.30 - ns
3-16 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet t hwren_ebr hold write/read enable to pfu memory 0.23 - 0.28 - 0.33 - ns t suce_ebr clock enable setup time to ebr output register 0.28 - 0.34 - 0.40 - ns t hce_ebr clock enable hold time to ebr output register -0.24 - -0.29 - -0.34 - ns t rsto_ebr reset to output delay time from ebr out- put register - 1.00 - 1.20 - 1.40 ns pll parameters t rstrec reset recovery to rising clock - - - ns t rstsu reset signal setup time - - - ns t rstw reset signal pulse width 10.0 - 10.0 - 10.0 - ns dsp block timing 2 t sui_dsp input register setup time - -0.44 - -0.35 - -0.27 ns t hi_dsp input register hold time - 0.80 - 0.96 - 1.12 ns t sup_dsp pipeline register setup time - 3.31 - 3.98 - 4.64 ns t hp_dsp pipeline register hold time - 0.80 - 0.96 - 1.12 ns t suo_dsp output register setup time - 6.72 - 8.07 - 9.41 ns t ho_dsp output register hold time - 0.80 - 0.96 - 1.12 ns t coi_dsp input register clock to output time - 8.33 - 10.35 - 12.07 ns t cop_dsp pipeline register clock to output time - 4.80 - 5.89 - 6.87 ns t coo_dsp output register clock to output time - 1.47 - 1.77 - 2.06 ns t coovrfl_dsp over?w register clock to output time - 1.47 - 1.77 - 2.06 ns t suadsub adsub setup time - 3.31 - 3.98 - 4.64 ns t hadsub adsub hold time - 0.71 - 0.86 - 1.00 ns t susign sign setup time - 3.31 - 3.98 - 4.64 ns t hsign sign hold time - 0.80 - 0.96 - 1.12 ns t suaccsload accumulator load setup time - 3.31 - 3.98 - 4.64 ns t haccsload accumulator load hold time - 0.80 - 0.96 - 1.12 ns 1. internal parameters are characterized but not tested on every device. 2. these parameters apply to latticeecp devices only. rev f 0.17 latticeecp/ec internal timing parameters 1 (continued) over recommended operating conditions parameter description -5 -4 -3 units min. max. min. max. min. max.
3-17 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet timing diagrams pfu timing diagrams figure 3-7. slice single/dual port write cycle timing figure 3-8. slice single /dual port read cycle timing ck d wre d di[1:0] do[1:0] ad ad[3:0] old data wre d do[1:0] ad ad[3:0] old data
3-18 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet ebr memory timing diagrams figure 3-9. read/write mode (normal) note: input data and address are registered at the positive edge of the clock and output data appears after the positive edge o f the clock. figure 3-10. read/write mode with input and output registers a0 a1 a0 a1 d0 d1 doa a0 t access t access t su t h d0 d1 d0 dia ada wea csa clka a0 a1 a0 a0 d0 d1 d0 d0 doa output is only updated during a read cycle a1 d1 d0 d1 mem(n) data from previous read mem(n) data from previous read dia ada wea csa clka doa doa (regs) t su t h t access t access
3-19 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet figure 3-11. read before write (sp read/write on port a, input registers only) note: input data and address are registered at the positive edge of the clock and output data appears after the positive edge o f the clock. figure 3-12. write through (sp read/write on port a, input registers only) note: input data and address are registered at the positive edge of the clock and output data appears after the positive edge o f the clock. a0 a1 a0 a1 d0 d1 d2 doa a0 d2 d3 d1 old a0 data old a1 data d0 d1 dia ada wea csa clka t su t h t access t access t access t access t access a0 a1 a0 d0 d1 d4 t su t access t access t access t h d2 d3 d4 d0 d1 d2 data from prev read or write three consecutive writes to a0 d3 doa dia ada wea csa clka t access
3-20 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet latticeecp/ec family timing adders 1, 2, 3 over recommended operating conditions buffer type description -5 -4 -3 units input adjusters lvds25 lvds 0.41 0.50 0.58 ns blvds25 blvds 0.41 0.50 0.58 ns lvpecl33 lvpecl 0.50 0.60 0.70 ns hstl18_i hstl_18 class i 0.41 0.49 0.57 ns hstl18_ii hstl_18 class ii 0.41 0.49 0.57 ns hstl18_iii hstl_18 class iii 0.41 0.49 0.57 ns hstl18d_i differential hstl 18 class i 0.37 0.44 0.52 ns hstl18d_ii differential hstl 18 class ii 0.37 0.44 0.52 ns hstl18d_iii differential hstl 18 class iii 0.37 0.44 0.52 ns hstl15_i hstl_15 class i 0.40 0.48 0.56 ns hstl15_iii hstl_15 class iii 0.40 0.48 0.56 ns hstl15d_i differential hstl 15 class i 0.37 0.44 0.51 ns hstl15d_iii differential hstl 15 class iii 0.37 0.44 0.51 ns sstl33_i sstl_3 class i 0.46 0.55 0.64 ns sstl33_ii sstl_3 class ii 0.46 0.55 0.64 ns sstl33d_i differential sstl_3 class i 0.39 0.47 0.55 ns sstl33d_ii differential sstl_3 class ii 0.39 0.47 0.55 ns sstl25_i sstl_2 class i 0.43 0.51 0.60 ns sstl25_ii sstl_2 class ii 0.43 0.51 0.60 ns sstl25d_i differential sstl_2 class i 0.38 0.45 0.53 ns sstl25d_ii differential sstl_2 class ii 0.38 0.45 0.53 ns sstl18_i sstl_18 class i 0.40 0.48 0.56 ns sstl18d_i differential sstl_18 class i 0.37 0.44 0.51 ns lvttl33 lvttl 0.07 0.09 0.10 ns lvcmos33 lvcmos 3.3 0.07 0.09 0.10 ns lvcmos25 lvcmos 2.5 0.00 0.00 0.00 ns lvcmos18 lvcmos 1.8 0.07 0.09 0.10 ns lvcmos15 lvcmos 1.5 0.24 0.29 0.33 ns lvcmos12 lvcmos 1.2 1.27 1.52 1.77 ns pci33 pci 0.07 0.09 0.10 ns output adjusters lvds25e lvds 2.5 e -0.03 -0.04 -0.04 ns lvds25 lvds 2.5 -0.59 -0.71 -0.83 ns blvds25 blvds 2.5 0.18 0.22 0.26 ns lvpecl33 lvpecl 3.3 0.05 0.06 0.07 ns hstl18_i hstl_18 class i -0.25 -0.30 -0.35 ns hstl18_ii hstl_18 class ii -0.09 -0.11 -0.13 ns hstl18_iii hstl_18 class iii 0.00 0.01 0.01 ns hstl18d_i differential hstl 18 class i -0.25 -0.30 -0.35 ns hstl18d_ii differential hstl 18 class ii -0.09 -0.11 -0.13 ns hstl18d_iii differential hstl 18 class iii 0.00 0.01 0.01 ns
3-21 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet hstl15_i hstl_15 class i -0.07 -0.08 -0.09 ns hstl15_ii hstl_15 class ii 0.00 0.00 0.00 ns hstl15_iii hstl_15 class iii -0.05 -0.06 -0.07 ns hstl15d_i differential hstl 15 class i -0.07 -0.08 -0.09 ns hstl15d_iii differential hstl 15 class iii -0.05 -0.06 -0.07 ns sstl33_i sstl_3 class i -0.20 -0.24 -0.28 ns sstl33_ii sstl_3 class ii 0.25 0.30 0.35 ns sstl33d_i differential sstl_3 class i -0.20 -0.24 -0.28 ns sstl33d_ii differential sstl_3 class ii 0.25 0.30 0.35 ns sstl25_i sstl_2 class i -0.10 -0.11 -0.13 ns sstl25_ii sstl_2 class ii 0.10 0.12 0.14 ns sstl25d_i differential sstl_2 class i -0.10 -0.11 -0.13 ns sstl25d_ii differential sstl_2 class ii 0.10 0.12 0.14 ns sstl18_i sstl_1.8 class i -0.14 -0.17 -0.20 ns sstl18d_i differential sstl_1.8 class i -0.14 -0.17 -0.20 ns lvttl33_4ma lvttl 4ma drive -0.06 -0.07 -0.09 ns lvttl33_8ma lvttl 8ma drive -0.05 -0.07 -0.08 ns lvttl33_12ma lvttl 12ma drive -0.06 -0.07 -0.08 ns lvttl33_16ma lvttl 16ma drive -0.05 -0.07 -0.08 ns lvttl33_20ma lvttl 20ma drive -0.07 -0.09 -0.10 ns lvcmos33_4ma lvcmos 3.3 4ma drive -0.06 -0.07 -0.09 ns lvcmos33_8ma lvcmos 3.3 8ma drive -0.05 -0.07 -0.08 ns lvcmos33_12ma lvcmos 3.3 12ma drive -0.06 -0.07 -0.08 ns lvcmos33_16ma lvcmos 3.3 16ma drive -0.05 -0.07 -0.08 ns lvcmos33_20ma lvcmos 3.3 20ma drive -0.07 -0.09 -0.10 ns lvcmos25_4ma lvcmos 2.5 4ma drive 0.04 0.05 0.05 ns lvcmos25_8ma lvcmos 2.5 8ma drive 0.03 0.03 0.04 ns lvcmos25_12ma lvcmos 2.5 12ma drive 0.00 0.00 0.00 ns lvcmos25_16ma lvcmos 2.5 16ma drive 0.03 0.03 0.04 ns lvcmos25_20ma lvcmos 2.5 20ma drive -0.05 -0.06 -0.07 ns lvcmos18_4ma lvcmos 1.8 4ma drive 0.07 0.08 0.10 ns lvcmos18_8ma lvcmos 1.8 8ma drive 0.07 0.08 0.09 ns lvcmos18_12ma lvcmos 1.8 12ma drive 0.06 0.07 0.09 ns lvcmos18_16ma lvcmos 1.8 16ma drive 0.07 0.08 0.09 ns lvcmos15_4ma lvcmos 1.5 4ma drive 0.12 0.14 0.16 ns lvcmos15_8ma lvcmos 1.5 8ma drive 0.11 0.13 0.15 ns lvcmos12_2ma lvcmos 1.2 2ma drive 0.22 0.26 0.31 ns lvcmos12_6ma lvcmos 1.2 6ma drive 0.21 0.25 0.29 ns lvcmos12_4ma lvcmos 1.2 4ma drive 0.22 0.26 0.31 ns pci33 pci33 2.00 2.40 2.80 ns 1. timing adders are characterized but not tested on every device. 2. lvcmos timing measured with the load speci?d in switching test conditions table. 3. all other standards according to the appropriate speci?ation. rev f 0.17 latticeecp/ec family timing adders 1, 2, 3 (continued) over recommended operating conditions buffer type description -5 -4 -3 units
3-22 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet sysclock pll timing over recommended operating conditions parameter descriptions conditions min. typ. max. units f in input clock frequency (clki, clkfb) 25 420 mhz f out output clock frequency (clkop, clkos) 25 420 mhz f out2 k-divider output frequency (clkok) 0.195 210 mhz f vco pll vco frequency 420 840 mhz f pfd phase detector input frequency 25 mhz ac characteristics t dt output clock duty cycle default duty cycle elected 3 45 50 55 % t ph 4 output phase accuracy tbd ui t opjit 1 output clock period jitter fout >= 100mhz +/- 125 ps fout < 100mhz 0.02 uipp t sk input clock to output clock skew divider ratio = integer +/- 200 ps t w output clock pulse width at 90% or 10% 3 1ns t lock 2 pll lock-in time 150 us t pa programmable delay unit 100 250 400 ps t ipjit input clock period jitter +/- 200 ps t fbkdly external feedback delay 10 ns t hi input clock high time 90% to 90% 0.5 ns t lo input clock low time 10% to 10% 0.5 ns t rst rst pulse width 10 ns 1. jitter sample is taken over 10,000 samples of the primary pll output with clean reference clock. 2. output clock is valid after tlock for pll reset and dynamic delay adjustment. 3. using lvds output buffers. 4. relative to clkop. rev f 0.17
3-23 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet latticeecp/ec sysconfig port timing speci?ations over recommended operating conditions parameter description min max units sysconfig byte data flow t sucbdi byte d[0:7] setup time to cclk 7 ns t hcbdi byte d[0:7] hold time to cclk 1 ns t codo clock to dout in flowthrough mode tbd ns t sucs cs[0:1] setup time to cclk 7 ns t hcs cs[0:1] hold time to cclk 1 ns t suwd write signal setup time to cclk 7 ns t hwd write signal hold time to cclk 1 ns t dcb cclk to busy delay time 12 ns t cord clock to out for read data 12 ns sysconfig byte slave clocking t bsch byte slave clock minimum high pulse 6 ns t bscl byte slave clock minimum low pulse 6 ns t bscyc byte slave clock cycle time 15 ns sysconfig serial (bit) data flow t suscdi din setup time to cclk slave mode 7 ns t hscdi din hold time to cclk slave mode 1 ns t codo clock to dout in flowthrough mode 12 ns t sumcdi din setup time to cclk master mode 7 ns t hmcdi din hold time to cclk master mode 1 ns sysconfig serial slave clocking t ssch serial slave clock minimum high pulse 6 ns t sscl serial slave clock minimum low pulse 6 ns sysconfig por, initialization and wake up t icfg minimum vcc to init high 50 ms t vmc time from t icfg to valid master clock 2 us t prgmrj programb pin pulse rejection 10 ns t prgm programb low time to start con?uration 25 ns t dinit programb high to init high delay 1 ms t dppinit delay time from programb low to init low 37 ns t dppdone delay time from programb low to done low 37 ns t iodiss user i/o disable from programb low 25 ns t ioenss user i/o enabled time from cclk edge during wake-up sequence 25 ns t mwc additional wake master clock signals after done pin high 120 cycles sysconfig spi port t cfgx init high to cclk low 1 s t csspi init high to csspin low 2 us t cscclk cclk low before csspin low 0 - ns t socdo cclk low to output valid 15 ns t soe csspin active setup time 300 ns t cspid csspin low to first clock edge setup time 300+3cyc 600+6cyc ns f maxspi max frequency for spi 20 mhz
3-24 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet rev f 0.18 figure 3-13. sysconfig spi port sequence t suspi sospi data setup time before cclk 7 ns t hspi sospi data hold time after cclk 2 ns master clock frequency selected value -30% selected value +30% mhz duty cycle 40 60 % latticeecp/ec sysconfig port timing speci?ations (continued) over recommended operating conditions parameter description min max units vcc t icfg t cscclk t soe t socdo t cspid t csspi t cfgx t dint t dppint programn done initn csspin cclk sispi/busy d7/spid0 d7 d5 d4 d3 d2 d1 d0 d6 xxx valid bitstream clock 127 clock 128 0 1 2 3 4 5 6 7 0 t prgm capture cfgx capture opcode t dppdone
3-25 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet jtag port timing speci?ations over recommended operating conditions rev f 0.17 symbol parameter min. max. units f max tck clock frequency - 25 mhz t btcp tck [bscan] clock pulse width 40 - ns t btcph tck [bscan] clock pulse width high 20 - ns t btcpl tck [bscan] clock pulse width low 20 - ns t bts tck [bscan] setup time 8 - ns t bth tck [bscan] hold time 10 - ns t btrf tck [bscan] rise/fall time 50 - mv/ns t btco tap controller falling edge of clock to valid output - 10 ns t btcodis tap controller falling edge of clock to valid disable - 10 ns t btcoen tap controller falling edge of clock to valid enable - 10 ns t btcrs bscan test capture register setup time 8 - ns t btcrh bscan test capture register hold time 25 - ns t butco bscan test update register, falling edge of clock to valid output - 25 ns t btuodis bscan test update register, falling edge of clock to valid disable - 25 ns t btupoen bscan test update register, falling edge of clock to valid enable - 25 ns
3-26 dc and switching characteristics lattice semiconductor latticeecp/ec family data sheet switching test conditions figure 3-14 shows the output test load that is used for ac testing. the speci? values for resistance, capacitance, voltage, and other test conditions are shown in table 3-5. figure 3-14. output test load, lvttl and lvcmos standards table 3-5. test fixture required components, non-terminated interfaces test condition r 1 c l timing ref. v t lvttl and other lvcmos settings (l -> h, h -> l) 0pf lvcmos 3.3 = 1.5v lvcmos 2.5 = v ccio /2 lvcmos 1.8 = v ccio /2 lvcmos 1.5 = v ccio /2 lvcmos 1.2 = v ccio /2 lvcmos 2.5 i/o (z -> h) 188 ? 0pf v ccio /2 v ol lvcmos 2.5 i/o (z -> l) v ccio /2 v oh lvcmos 2.5 i/o (h -> z) v oh - 0.15 v ol lvcmos 2.5 i/o (l -> z) v ol + 0.15 v oh note: output test conditions for all other interfaces are determined by the respective standards. dut v t r1 cl* test point *cl includes test fixture and probe capacitance
www.latticesemi.com 4-1 pinout information_02.0 december 2004 preliminary data sheet ?2004 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. signal descriptions signal name i/o descriptions general purpose p[edge] [row/column number*]_[a/b] i/o [edge] indicates the edge of the device on which the pad is located. valid edge designations are l (left), b (bottom), r (right), t (top). [row/column number] indicates the pfu row or the column of the device on which the pic exists. when edge is t (top) or (bottom), only need to specify row number. when edge is l (left) or r (right), only need to specify col- umn number. [a/b] indicates the pio within the pic to which the pad is connected. some of these user-programmable pins are shared with special function pins. these pin when not used as special purpose pins can be programmed as i/os for user logic. during con?uration the user-programmable i/os are tri-stated with an inter- nal pull-up resistor enabled. if any pin is not used (or not bonded to a pack- age pin), it is also tri-stated with an internal pull-up resistor enabled after con?uration. gsrn i global reset signal (active low). any i/o pin can be gsrn. nc no connect. gnd ground. dedicated pins. v cc power supply pins for core logic. dedicated pins. v ccaux auxiliary power supply pin. it powers all the differential and referenced input buffers. dedicated pins. v cciox power supply pins for i/o bank x. dedicated pins. v ref1_x, v ref2_x reference supply pins for i/o bank x. pre-determined pins in each bank are as assigned v ref inputs. when not used, they may be used as i/o pins. xres 10k ohm +/-1% resistor must be connected between this pad and ground. pll and clock functions (used as user programmable i/o pins when not in use for pll or clock pins) [loc][num]_pll[t, c]_in_a i reference clock (pll) input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. [loc][num]_pll[t, c]_fb_a i optional feedback (pll) input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. pclk[t, c]_[n:0]_[3:0] i primary clock pads, t = true and c = complement, n per side, indexed by bank and 0,1,2,3 within bank. [loc]dqs[num] i dqs input pads: t (top), r (right), b (bottom), l (left), dqs, num = ball function number. any pad can be con?ured to be output. test and programming (dedicated pins) tms i test mode select input, used to control the 1149.1 state machine. pull-up is enabled during con?uration. tck i test clock input pin, used to clock the 1149.1 state machine. no pull-up enabled. latticeecp/ec family data sheet pinout information
4-2 pinout information lattice semiconductor latticeecp/ec family data sheet tdi i test data in pin. used to load data into device using 1149.1 state machine. after power-up, this tap port can be activated for con?uration by sending appropriate command. (note: once a con?uration port is selected it is locked. another con?uration port cannot be selected until the power-up sequence). pull-up is enabled during con?uration. tdo o output pin. test data out pin used to shift data out of device using 1149.1. v ccj ? ccj - the power supply pin for jtag test access port. con?uration pads (used during sysconfig) cfg[2:0] i mode pins used to specify con?uration modes values latched on rising edge of initn. during con?uration, a pull-up is enabled. these are dedicated pins. initn i/o open drain pin. indicates the fpga is ready to be con?ured. during con?- uration, a pull-up is enabled. it is a dedicated pin. programn i initiates con?uration sequence when asserted low. this pin always has an active pull-up. this is a dedicated pin. done i/o open drain pin. indicates that the con?uration sequence is complete, and the startup sequence is in progress. this is a dedicated pin. cclk i/o con?uration clock for con?uring an fpga in sysconfig mode. busy/sispi i/o read control command in spi3 or spix mode. csn i sysconfig chip select (active low). during con?uration, a pull-up is enabled. cs1n i sysconfig chip select (active low). during con?uration, a pull-up is enabled. writen i write data on parallel port (active low). d[7:0]/spid[0:7] i/o sysconfig port data i/o. dout/cson o output for serial con?uration data (rising edge of cclk) when using sysconfig port. di/csspin i input for serial con?uration data (clocked with cclk) when using syscon- fig port. during con?uration, a pull-up is enabled. signal descriptions (cont.) signal name i/o descriptions
4-3 pinout information lattice semiconductor latticeecp/ec family data sheet pics and ddr data (dq) pins associated with the ddr strobe (dqs) pin pics associated with dqs strobe pio within pic ddr strobe (dqs) and data (dq) pins p[edge] [n-4] adq bdq p[edge] [n-3] adq bdq p[edge] [n-2] adq bdq p[edge] [n-1] adq bdq p[edge] [n] a [edge]dqsn bdq p[edge] [n+1] adq bdq p[edge] [n+2] adq bdq p[edge] [n+3] adq bdq notes: 1. ? is a row/column pic number 2. the ddr interface is designed for memories that support one dqs strobe per eight bits of data. in some packages, all the potential ddr data (dq) pins may not be available. 3. pic numbering de?itions are provided in the ?ignal names column of the signal descrip- tions table.
4-4 pinout information lattice semiconductor latticeecp/ec family data sheet pin information summary lfec1 lfec3 lfecp6/ec6 pin type 100- tqfp 144- tqfp 208- pqfp 100- tqfp 144- tqfp 208- pqfp 256- fpbga 144- tqfp 208- pqfp 256- fpbga 484- fpbga single ended user i/o 67 97 112 67 97 145 160 97 147 195 224 differential pair user i/o 58 92 112 58 92 112 160 72 97 97 112 con?uration dedicated 13 13 13 13 13 13 13 13 13 13 13 muxed 48 48 48 48 48 48 48 48 48 48 48 tap 55555555555 dedicated (total without supplies) 80 110 160 80 110 160 208 110 160 208 373 v cc 23323310441020 v ccaux 222222224212 v ccio bank0 12212322324 bank1 12212222224 bank2 11111121224 bank3 12212222224 bank4 12212222224 bank5 12212222324 bank6 12212222224 bank7 11111121224 gnd, gnd0-gnd7 8 13 13 8 13 16 20 14 18 20 44 nc 0 2 51 0 2 9 35 0 4 0 139 single ended/ differential i/o per bank bank0 11 14 16 11 14 26 32 14 26 32 32 bank1 11 13 16 11 13 16 16 13 17 18 32 bank2 3883814168141616 bank3 8 13 16 8 13 16 16 13 16 32 32 bank4 12 14 16 12 14 16 16 14 17 17 32 bank5 9 13 16 9 13 26 32 13 26 32 32 bank6 5 14 16 5 14 16 16 14 16 32 32 bank7 8888815168151616 v ccj 11111111111 note: during con?uration the user-programmable i/os are tri-stated with an internal pull-up resistor enabled. if any pin is n ot used (or not bonded to a package pin), it is also tri-stated with an internal pull-up resistor enabled after con?uration.
4-5 pinout information lattice semiconductor latticeecp/ec family data sheet pin information summary (cont.) lfecp/ec10 lfecp/ec15 lfecp20/ec20 pin type 208- pqfp 256- fpbga 484- fpbga 256- fpbga 484- fpbga 484- fpbga 672- fpbga single ended user i/o 147 195 288 195 352 360 400 differential pair user i/o 144 194 288 194 352 180 200 con?uration dedicated 13 13 13 13 13 13 13 muxed 56 56 56 56 56 56 56 tap 5555555 dedicated (total without supplies) 160 208 373 208 373 373 509 v cc 6 102010202032 v ccaux 4 2 12 2 121220 v ccio bank0 3242446 bank1 2242446 bank2 1242446 bank3 2242446 bank4 4242446 bank5 2242446 bank6 2242446 bank7 1242446 gnd, gnd0-gnd7 20 20 34 20 44 44 63 nc 0 075011396 single ended/ differential i/o per bank bank0 26 32 48 32 48 48 64 bank1 17 18 32 18 48 48 48 bank2 14 16 32 16 40 40 40 bank3 16 32 32 32 40 44 48 bank4 17 17 32 17 48 48 48 bank5 26 32 48 32 48 48 64 bank6 16 32 32 32 40 44 48 bank7 15 16 32 16 40 40 40 v ccj 1111111 note: during con?uration the user-programmable i/os are tri-stated with an internal pull-up resistor enabled. if any pin is n ot used (or not bonded to a package pin), it is also tri-stated with an internal pull-up resistor enabled after con?uration.
4-6 pinout information lattice semiconductor latticeecp/ec family data sheet power supply and nc connections signals 100 tqfp 144 tqfp 208 pqfp 256 fpbga vcc 12, 64 13, 92, 99 ec1, ec3: 26, 128, 135 ecp/ec6: 24, 26, 128, 135 ecp/ec10: 5, 24, 26, 128, 135, 152 e12, e5, e8, m12, m5, m9, f6, f11, l11, l6 vccio0 100 136, 143 ec1: 187, 208 ec3, ecp/ec6, ecp/ ec10: 187, 197, 208 f7, f8 vccio1 86 110, 125 157, 176 f9, f10 vccio2 73 108 155 g11, h11 vccio3 56 73, 84 106, 120 j11, k11 vccio4 38 55, 71 85, 104 l9, l10 vccio5 26 38, 44 53, 74 l7, l8 vccio6 24 24, 36 37, 51 j6, k6 vccio7 2 1 2 g6, h6 vccj 18 19 32 l4 vccaux 37, 87 54, 126 ec1: 84, 177 ec3, ecp/ec6, ecp/ ec10: 22, 84, 136, 177 b15, r2 gnd, gnd0-gnd7 1, 14, 25, 35, 51, 68, 74, 89 15, 28, 37, 52, 63, 72, 80, 96, 98, 109, 117, 128, 144 ec1, ec3: 1, 28, 41, 52, 82, 93, 105, 116, 132, 134, 156, 168, 179 ecp/ec6: 1, 18, 25, 28, 41, 52, 72, 82, 93, 105, 116, 132, 134, 138, 156, 168, 179, 189 ecp/ec10: 1, 6, 18, 25, 28, 41, 52, 72, 82, 93, 105, 116, 132, 134, 138, 151, 156, 168, 179, 189 a1, a16, g10, g7, g8, g9, h10, h7, h8, h9, j10, j7, j8, j9, k10, k7, k8, k9, t1, t16 nc 11, 12 ec1, ec3: none ecp/ec6: 5, 6, 151, 152 ecp/ec10: none lfec3: g5, h5, f2, f1, h4, h3, g2, g1, j4, j3, j5, k5, h2, h1, j2, j1, r12, h16, h15, g16, g15, k12, j12, j14, j15, f16, f15, j13, h13, h14, g14, e16, e15, b13, c13
4-7 pinout information lattice semiconductor latticeecp/ec family data sheet power supply and nc connections (cont.) signals 484 fpbga 672 fpbga vcc j16, j7, k16, k17, k6, k7, l17, l6, m17, m6, n16, n17, n6, n7, p16, p7, j6, j17, p6, p17 h10, h11, h16, h17, h18, h19, h8, h9, j18, j9, k8, l19, m19, n7, r20, r7, t19, v18, v8, v9, w10, w11, w16, w17, w18, w19, w8, w9, k19, l8, u19, u8 vccio0 g11, h10, h11, h9 h12, h13, j10, j11, j12, j13 vccio1 g12, h12, h13, h14 h14, h15, j14, j15, j16, j17 vccio2 j15, k15, l15, l16 k17, k18, l18, m18, n18, n19 vccio3 m15, m16, n15, p15 p18, p19, r18, r19, t18, u18 vccio4 r12, r13, r14, t12 v14, v15, v16, v17, w14, w15 vccio5 r10, r11, r9, t11 v10, v11, v12, v13, w12, w13 vccio6 m7, m8, n8, p8 p8, p9, r8, r9, t9, u9 vccio7 j8, k8, l7, l8 k9, l9, m8, m9, n8, n9 vccj u2 u6 vccaux g15, g16, g7, g8, h16, h7, r16, r7, t15, t16, t7, t8 g13, h20, h7, j19, j8, k7, l20, m20, m7, n20, p20, p7, t20, t7, t8, v19, v7, w20, y13, y7 gnd, gnd0-gnd7 a1, a22, ab1, ab22, h15, h8, j10, j11, j12, j13, j14, j9, k10, k11, k12, k13, k14, k9, l10, l11, l12, l13, l14, l9, m10, m11, m12, m13, m14, m9, n10, n11, n12, n13, n14, n9, p10, p11, p12, p13, p14, p9, r15, r8 k10, k11, k12, k13, k14, k15, k16, l10, l11, l12, l13, l14, l15, l16, l17, m10, m11, m12, m13, m14, m15, m16, m17, n10, n11, n12, n13, n14, n15, n16, n17, p10, p11, p12, p13, p14, p15, p16, p17, r10, r11, r12, r13, r14, r15, r16, r17, t10, t11, t12, t13, t14, t15, t16, t17, u10, u11, u12, u13, u14, u15, u16, u17 nc lfecp/ec6: c3, b2, e5, f5, d3, c2, f4, g4, e3, d2, b1, c1, f3, e2, g5, h6, g3, h4, j5, h5, f2, f1, e1, d1, r6, p5, p3, p4, r1, r2, r5, r4, t1, t2, r3, t3, v7, t6, v8, u7, w5, u6, aa3, ab3, y6, v6, aa5, w6, y5, y4, aa4, ab4, w16, u15, v16, u16, y17, v17, ab20, aa19, y16, w17, aa20, y19, y18, w18, t17, u17, t18, r17, r19, r18, u22, t22, r21, r22, p20, n20, p19, p18, e21, d22, g21, g20, j18, h19, j19, h20, h17, h18, d21, c22, g19, g18, f20, f19, e20, d20, c21, c20, f18, e18, b22, b21, g17, f17, d18, c18, c19, b20, d17, c16, b19, a20, e17, c17, f16, e16, f15, d16, a4, b4, c4, c5, d6, b5, e6, c6, a3, b3, f6, d5, f7, e8, g6, e7, a2, ab2, a21 lfecp/ec10: g5, h6, g3, h4, j5, h5, f2, f1, r6, p5, p3, p4, r2, r1, r5, r4, t1, t2, r3, t3, w16, u15, v16, u16, y17, v17, ab20, aa19, y16, w17, aa20, y19, y18, w18, t17, u17, t18, r17, r19, r18, u22, t22, r21, r22, p20, n20, p19, p18, g21, g20, j18, h19, j19, h20, h17, h18, g17, f17, d18, c18, c19, b20, d17, c16, b19, a20, e17, c17, f16, e16, f15, d16, a2, ab2, a21 lfecp/ec15: t1, t2, r3, t3, t18, r17, r19, r18, a2, ab2, a21 lfecp/ec20: a2, ab2, a21 e5, d5, f4, f5, c3, d3, c2, b2, h6, j7, g5, h5, h3, j3, h2, j2, aa2, aa3, w5, y5, y6, w7, aa4, ab3, ac2, ac3, aa5, ab5, ad3, ad2, ae1, ad1, ad19, ad20, ac19, ab19, ad21, ac20, af25, ae25, ab21, ab20, ae24, ad23, ad22, ac21, ac22, ab22, ad24, ad25, ae26, ad26, y20, y19, aa23, aa22, ab23, ab24, y21, aa21, y23, y22, aa24, y24, j21, j22, j23, h22, g26, f26, e26, e25, f24, f23, e24, d24, e22, f22, e21, d22, g20, f20, d21, c21, c23, c22, b23, c24, d20, e19, b25, b24, b26, a25, c20, c19
4-8 pinout information lattice semiconductor latticeecp/ec family data sheet lfec1, lfec3 logic signal connections: 100 tqfp pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function 1* gnd0 gnd7 - gnd0 gnd7 - 2 vccio7 7 vccio7 7 3 pl2a 7 t vref2_7 pl2a 7 t vref2_7 4 pl2b 7 c vref1_7 pl2b 7 c vref1_7 5 pl3a 7 t pl7a 7 t 6 pl3b 7 c pl7b 7 c 7 pl4a 7 t pl8a 7 t 8 pl4b 7 c pl8b 7 c 9 pl5a 7 t pclkt7_0 pl9a 7 t pclkt7_0 10 pl5b 7 c pclkc7_0 pl9b 7 c pclkc7_0 11 xres 6 xres 6 12 vcc - vcc - 13 tck 6 tck 6 14 gnd - gnd - 15 tdi 6 tdi 6 16 tms 6 tms 6 17 tdo 6 tdo 6 18 vccj 6 vccj 6 19 pl7a 6 t llm0_pllt_in_a pl11a 6 t lum0_pllt_in_a 20 pl7b 6 c llm0_pllc_in_a pl11b 6 c lum0_pllc_in_a 21 pl8a 6 t llm0_pllt_fb_a pl12a 6 t lum0_pllt_fb_a 22 pl8b 6 c llm0_pllc_fb_a pl12b 6 c lum0_pllc_fb_a 23 pl14a 6 vref1_6 pl18a 6 vref1_6 24 vccio6 6 vccio6 6 25* gnd5 gnd6 - gnd5 gnd6 - 26 vccio5 5 vccio5 5 27 pb2a 5 t pb10a 5 t 28 pb2b 5 c pb10b 5 c 29 pb3a 5 t pb11a 5 t 30 pb3b 5 c pb11b 5 c 31 pb6a 5 bdqs6 pb14a 5 bdqs14 32 pb8a 5 t vref2_5 pb16a 5 t vref2_5 33 pb8b 5 c vref1_5 pb16b 5 c vref1_5 34 pb9a 5 t pclkt5_0 pb17a 5 t pclkt5_0 35 gnd5 5 gnd5 5 36 pb9b 5 c pclkc5_0 pb17b 5 c pclkc5_0 37 vccaux - vccaux - 38 vccio4 4 vccio4 4 39 pb10a 4 t writen pb18a 4 t writen 40 pb10b 4 c cs1n pb18b 4 c cs1n
4-9 pinout information lattice semiconductor latticeecp/ec family data sheet 41 pb11a 4 t vref1_4 pb19a 4 t vref1_4 42 pb11b 4 c csn pb19b 4 c csn 43 pb12b 4 d7/spid0 pb20b 4 d0/spid7 44 pb13a 4 t d5/spid2 pb21a 4 t d2/spid5 45 pb13b 4 c d6/spid1 pb21b 4 c d1/spid6 46 pb14a 4 t bdqs14 pb22a 4 t bdqs22 47 pb14b 4 c d4/spid3 pb22b 4 c d3/spid4 48 pb15b 4 d3/spid4 pb23b 4 d4/spid3 49 pb16b 4 d2/spid5 pb24b 4 d5/spid2 50 pb17b 4 d1/spid6 pb25b 4 d6/spid1 51* gnd3 gnd4 - gnd3 gnd4 - 52 pr10b 3 c rlm0_pllc_fb_a pr14b 3 c rlm0_pllc_fb_a 53 pr10a 3 t rlm0_pllt_fb_a pr14a 3 t rlm0_pllt_fb_a 54 pr9b 3 c rlm0_pllc_in_a pr13b 3 c rlm0_pllc_in_a 55 pr9a 3 t rlm0_pllt_in_a pr13a 3 t rlm0_pllt_in_a 56 vccio3 3 vccio3 3 57 pr8b 3 c di/csspin pr12b 3 c di/csspin 58 pr8a 3 t dout/cson pr12a 3 t dout/cson 59 pr7b 3 c busy/sispi pr11b 3 c busy/sispi 60 pr7a 3 t d0/spid7 pr11a 3 t d7/spid0 61 cfg2 3 cfg2 3 62 cfg1 3 cfg1 3 63 cfg0 3 cfg0 3 64 vcc - vcc - 65 programn 3 programn 3 66 cclk 3 cclk 3 67 initn 3 initn 3 68 gnd - gnd - 69 done 3 done 3 70 pr5b 2 c pclkc2_0 pr9b 2 c pclkc2_0 71 pr5a 2 t pclkt2_0 pr9a 2 t pclkt2_0 72 pr2b 2 vref1_2 pr2b 2 vref1_2 73 vccio2 2 vccio2 2 74 gnd2 2 gnd2 2 75 pt17b 1 c pt25b 1 c 76 pt17a 1 t pt25a 1 t 77 pt14b 1 c pt22b 1 c 78 pt14a 1 t tdqs14 pt22a 1 t tdqs22 79 pt13a 1 pt21a 1 80 pt12b 1 c pt20b 1 c 81 pt12a 1 t pt20a 1 t lfec1, lfec3 logic signal connections: 100 tqfp (cont.) pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function
4-10 pinout information lattice semiconductor latticeecp/ec family data sheet 82 pt11b 1 c vref2_1 pt19b 1 c vref2_1 83 pt11a 1 t vref1_1 pt19a 1 t vref1_1 84 pt10b 1 c pt18b 1 c 85 pt10a 1 t pt18a 1 t 86 vccio1 1 vccio1 1 87 vccaux - vccaux - 88 pt9b 0 c pclkc0_0 pt17b 0 c pclkc0_0 89 gnd0 0 gnd0 0 90 pt9a 0 t pclkt0_0 pt17a 0 t pclkt0_0 91 pt8b 0 c vref1_0 pt16b 0 c vref1_0 92 pt8a 0 t vref2_0 pt16a 0 t vref2_0 93 pt7b 0 pt15b 0 94 pt6b 0 c pt14b 0 c 95 pt6a 0 t tdqs6 pt14a 0 t tdqs14 96 pt4b 0 c pt12b 0 c 97 pt4a 0 t pt12a 0 t 98 pt2b 0 c pt10b 0 c 99 pt2a 0 t pt10a 0 t 100 vccio0 0 vccio0 0 *double bonded to the pin. lfec1, lfec3 logic signal connections: 100 tqfp (cont.) pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function
4-11 pinout information lattice semiconductor latticeecp/ec family data sheet lfec1, lfec3, lfecp/ec6 logic signal connections: 144 tqfp pin number lfec1 lfec3 lfecp6/ec6 pin function bank lvds dual function pin function bank lvds dual function pin function bank lvds dual function 1 vccio7 7 vccio7 7 vccio7 7 2 pl2a 7 t vref2_7 pl2a 7 t vref2_7 pl2a 7 t vref2_7 3 pl2b 7 c vref1_7 pl2b 7 c vref1_7 pl2b 7 c vref1_7 4 pl3a 7 t pl7a 7 t pl7a 7 t 5 pl3b 7 c pl7b 7 c pl7b 7 c 6 pl4a 7 t pl8a 7 t pl8a 7 t 7 pl4b 7 c pl8b 7 c pl8b 7 c 8 pl5a 7 t pclkt7_0 pl9a 7 t pclkt7_0 pl9a 7 t pclkt7_0 9 pl5b 7 c pclkc7_0 pl9b 7 c pclkc7_0 pl9b 7 c pclkc7_0 10 xres 6 xres 6 xres 6 11 nc - nc - vcc - 12 nc - nc - gnd - 13 vcc - vcc - vcc - 14 tck 6 tck 6 tck 6 15 gnd - gnd - gnd - 16 tdi 6 tdi 6 tdi 6 17 tms 6 tms 6 tms 6 18 tdo 6 tdo 6 tdo 6 19 vccj 6 vccj 6 vccj 6 20 pl7a 6 t llm0_pllt_in_a pl11a 6 t llm0_pllt_in_a pl20a 6 t llm0_pllt_in_a 21 pl7b 6 c llm0_pllc_in_a pl11b 6 c llm0_pllc_in_a pl20b 6 c llm0_pllc_in_a 22 pl8a 6 t llm0_pllt_fb_a pl12a 6 t llm0_pllt_fb_a pl21a 6 t llm0_pllt_fb_a 23 pl8b 6 c llm0_pllc_fb_a pl12b 6 c llm0_pllc_fb_a pl21b 6 c llm0_pllc_fb_a 24 vccio6 6 vccio6 6 vccio6 6 25 pl9a 6 t pl13a 6 t pl22a 6 t 26 pl9b 6 c pl13b 6 c pl22b 6 c 27 pl10a 6 t pl14a 6 t pl23a 6 t 28 gnd6 6 gnd6 6 gnd6 6 29 pl10b 6 c pl14b 6 c pl23b 6 c 30 pl11a 6 t ldqs11 pl15a 6 t ldqs15 pl24a 6 t ldqs24 31 pl11b 6 c pl15b 6 c pl24b 6 c 32 pl12a 6 t pl16a 6 t pl25a 6 t 33 pl12b 6 c pl16b 6 c pl25b 6 c 34 pl14a 6 t vref1_6 pl18a 6 t vref1_6 pl27a 6 t vref1_6 35 pl14b 6 c vref2_6 pl18b 6 c vref2_6 pl27b 6 c vref2_6 36 vccio6 6 vccio6 6 vccio6 6 37* gnd5 gnd6 - gnd5 gnd6 - gnd5 gnd6 - 38 vccio5 5 vccio5 5 vccio5 5 39 pb2a 5 t pb10a 5 t pb10a 5 t 40 pb2b 5 c pb10b 5 c pb10b 5 c 41 pb3a 5 t pb11a 5 t pb11a 5 t 42 pb3b 5 c pb11b 5 c pb11b 5 c 43 pb5b 5 pb13b 5 pb13b 5 44 vccio5 5 vccio5 5 vccio5 5 45 pb6a 5 t bdqs6 pb14a 5 t bdqs14 pb14a 5 t bdqs14 46 pb6b 5 c pb14b 5 c pb14b 5 c 47 pb7a 5 t pb15a 5 t pb15a 5 t 48 pb7b 5 c pb15b 5 c pb15b 5 c 49 pb8a 5 t vref2_5 pb16a 5 t vref2_5 pb16a 5 t vref2_5
4-12 pinout information lattice semiconductor latticeecp/ec family data sheet 50 pb8b 5 c vref1_5 pb16b 5 c vref1_5 pb16b 5 c vref1_5 51 pb9a 5 t pclkt5_0 pb17a 5 t pclkt5_0 pb17a 5 t pclkt5_0 52 gnd5 5 gnd5 5 gnd5 5 53 pb9b 5 c pclkc5_0 pb17b 5 c pclkc5_0 pb17b 5 c pclkc5_0 54 vccaux - vccaux - vccaux - 55 vccio4 4 vccio4 4 vccio4 4 56 pb10a 4 t writen pb18a 4 t writen pb18a 4 t writen 57 pb10b 4 c cs1n pb18b 4 c cs1n pb18b 4 c cs1n 58 pb11a 4 t vref1_4 pb19a 4 t vref1_4 pb19a 4 t vref1_4 59 pb11b 4 c csn pb19b 4 c csn pb19b 4 c csn 60 pb12a 4 t vref2_4 pb20a 4 t vref2_4 pb20a 4 t vref2_4 61 pb12b 4 c d0/spid7 pb20b 4 c d0/spid7 pb20b 4 c d0/spid7 62 pb13a 4 t d2/spid5 pb21a 4 t d2/spid5 pb21a 4 t d2/spid5 63 gnd4 4 gnd4 4 gnd4 4 64 pb13b 4 c d1/spid6 pb21b 4 c d1/spid6 pb21b 4 c d1/spid6 65 pb14a 4 t bdqs14 pb22a 4 t bdqs22 pb22a 4 t bdqs22 66 pb14b 4 c d3/spid4 pb22b 4 c d3/spid4 pb22b 4 c d3/spid4 67 pb15a 4 t pb23a 4 t pb23a 4 t 68 pb15b 4 c d4/spid3 pb23b 4 c d4/spid3 pb23b 4 c d4/spid3 69 pb16b 4 d5/spid2 pb24b 4 d5/spid2 pb24b 4 d5/spid2 70 pb17b 4 d6/spid1 pb25b 4 d6/spid1 pb25b 4 d6/spid1 71 vccio4 4 vccio4 4 vccio4 4 72* gnd3 gnd4 - gnd3 gnd4 - gnd3 gnd4 - 73 vccio3 3 vccio3 3 vccio3 3 74 pr14a 3 vref1_3 pr18a 3 vref1_3 pr27a 3 vref1_3 75 pr12b 3 c pr16b 3 c pr25b 3 c 76 pr12a 3 t pr16a 3 t pr25a 3 t 77 pr11b 3 c pr15b 3 c pr24b 3 c 78 pr11a 3 t rdqs11 pr15a 3 t rdqs15 pr24a 3 t rdqs24 79 pr10b 3 c rlm0_pllc_fb_a pr14b 3 c rlm0_pllc_fb_a pr23b 3 c rlm0_pllc_fb_a 80 gnd3 3 gnd3 3 gnd3 3 81 pr10a 3 t rlm0_pllt_fb_a pr14a 3 t rlm0_pllt_fb_a pr23a 3 t rlm0_pllt_fb_a 82 pr9b 3 c rlm0_pllc_in_a pr13b 3 c rlm0_pllc_in_a pr22b 3 c rlm0_pllc_in_a 83 pr9a 3 t rlm0_pllt_in_a pr13a 3 t rlm0_pllt_in_a pr22a 3 t rlm0_pllt_in_a 84 vccio3 3 vccio3 3 vccio3 3 85 pr8b 3 c di/csspin pr12b 3 c di/csspin pr21b 3 c di/csspin 86 pr8a 3 t dout/cson pr12a 3 t dout/cson pr21a 3 t dout/cson 87 pr7b 3 c busy/sispi pr11b 3 c busy/sispi pr20b 3 c busy/sispi 88 pr7a 3 t d7/spid0 pr11a 3 t d7/spid0 pr20a 3 t d7/spid0 89 cfg2 3 cfg2 3 cfg2 3 90 cfg1 3 cfg1 3 cfg1 3 91 cfg0 3 cfg0 3 cfg0 3 92 vcc - vcc - vcc - 93 programn 3 programn 3 programn 3 94 cclk 3 cclk 3 cclk 3 95 initn 3 initn 3 initn 3 96 gnd - gnd - gnd - 97 done 3 done 3 done 3 98 gnd - gnd - gnd - lfec1, lfec3, lfecp/ec6 logic signal connections: 144 tqfp (cont.) pin number lfec1 lfec3 lfecp6/ec6 pin function bank lvds dual function pin function bank lvds dual function pin function bank lvds dual function
4-13 pinout information lattice semiconductor latticeecp/ec family data sheet 99 vcc - vcc - vcc - 100 pr5b 2 c pclkc2_0 pr9b 2 c pclkc2_0 pr9b 2 c pclkc2_0 101 pr5a 2 t pclkt2_0 pr9a 2 t pclkt2_0 pr9a 2 t pclkt2_0 102 pr4b 2 c pr8b 2 c pr8b 2 c 103 pr4a 2 t pr8a 2 t pr8a 2 t 104 pr3b 2 c pr7b 2 c pr7b 2 c 105 pr3a 2 t pr7a 2 t pr7a 2 t 106 pr2b 2 c vref1_2 pr2b 2 c vref1_2 pr2b 2 c vref1_2 107 pr2a 2 t vref2_2 pr2a 2 t vref2_2 pr2a 2 t vref2_2 108 vccio2 2 vccio2 2 vccio2 2 109* gnd1 gnd2 - gnd1 gnd2 - gnd1 gnd2 - 110 vccio1 1 vccio1 1 vccio1 1 111 pt17b 1 c pt25b 1 c pt25b 1 c 112 pt17a 1 t pt25a 1 t pt25a 1 t 113 pt15a 1 pt23a 1 pt23a 1 114 pt14b 1 c pt22b 1 c pt22b 1 c 115 pt14a 1 t tdqs14 pt22a 1 t tdqs22 pt22a 1 t tdqs22 116 pt13b 1 c pt21b 1 c pt21b 1 c 117 gnd1 1 gnd1 1 gnd1 1 118 pt13a 1 t pt21a 1 t pt21a 1 t 119 pt12b 1 c pt20b 1 c pt20b 1 c 120 pt12a 1 t pt20a 1 t pt20a 1 t 121 pt11b 1 c vref2_1 pt19b 1 c vref2_1 pt19b 1 c vref2_1 122 pt11a 1 t vref1_1 pt19a 1 t vref1_1 pt19a 1 t vref1_1 123 pt10b 1 c pt18b 1 c pt18b 1 c 124 pt10a 1 t pt18a 1 t pt18a 1 t 125 vccio1 1 vccio1 1 vccio1 1 126 vccaux - vccaux - vccaux - 127 pt9b 0 c pclkc0_0 pt17b 0 c pclkc0_0 pt17b 0 c pclkc0_0 128 gnd0 0 gnd0 0 gnd0 0 129 pt9a 0 t pclkt0_0 pt17a 0 t pclkt0_0 pt17a 0 t pclkt0_0 130 pt8b 0 c vref1_0 pt16b 0 c vref1_0 pt16b 0 c vref1_0 131 pt8a 0 t vref2_0 pt16a 0 t vref2_0 pt16a 0 t vref2_0 132 pt7b 0 c pt15b 0 c pt15b 0 c 133 pt7a 0 t pt15a 0 t pt15a 0 t 134 pt6b 0 c pt14b 0 c pt14b 0 c 135 pt6a 0 t tdqs6 pt14a 0 t tdqs14 pt14a 0 t tdqs14 136 vccio0 0 vccio0 0 vccio0 0 137 pt5b 0 c pt13b 0 c pt13b 0 c 138 pt5a 0 t pt13a 0 t pt13a 0 t 139 pt4b 0 c pt12b 0 c pt12b 0 c 140 pt4a 0 t pt12a 0 t pt12a 0 t 141 pt2b 0 c pt10b 0 c pt10b 0 c 142 pt2a 0 t pt10a 0 t pt10a 0 t 143 vccio0 0 vccio0 0 vccio0 0 144* gnd0 gnd7 - gnd0 gnd7 - gnd0 gnd7 - *double bonded to the pin. lfec1, lfec3, lfecp/ec6 logic signal connections: 144 tqfp (cont.) pin number lfec1 lfec3 lfecp6/ec6 pin function bank lvds dual function pin function bank lvds dual function pin function bank lvds dual function
4-14 pinout information lattice semiconductor latticeecp/ec family data sheet lfec1, lfec3 logic signal connections: 208 pqfp pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function 1* gnd0 gnd7 - gnd0 gnd7 - 2 vccio7 7 vccio7 7 3 pl2a 7 t vref2_7 pl2a 7 t vref2_7 4 pl2b 7 c vref1_7 pl2b 7 c vref1_7 5 nc - nc - 6 nc - nc - 7 nc - pl3b 7 8 nc - pl4a 7 t 9 nc - pl4b 7 c 10 nc - pl5a 7 t 11 nc - pl5b 7 c 12 nc - pl6a 7 t ldqs6 13 nc - vcco7 7 14 nc - pl6b 7 c 15 pl3a 7 t pl7a 7 t 16 pl3b 7 c pl7b 7 c 17 pl4a 7 t pl8a 7 t 18 nc - nc - 19 pl4b 7 c pl8b 7 c 20 pl5a 7 t pclkt7_0 pl9a 7 t pclkt7_0 21 pl5b 7 c pclkc7_0 pl9b 7 c pclkc7_0 22 nc - vccaux - 23 xres 6 xres 6 24 nc - nc - 25 nc - nc - 26 vcc - vcc - 27 tck 6 tck 6 28 gnd - gnd - 29 tdi 6 tdi 6 30 tms 6 tms 6 31 tdo 6 tdo 6 32 vccj 6 vccj 6 33 pl7a 6 t llm0_pllt_in_a pl11a 6 t llm0_pllt_in_a 34 pl7b 6 c llm0_pllc_in_a pl11b 6 c llm0_pllc_in_a 35 pl8a 6 t llm0_pllt_fb_a pl12a 6 t llm0_pllt_fb_a 36 pl8b 6 c llm0_pllc_fb_a pl12b 6 c llm0_pllc_fb_a 37 vccio6 6 vccio6 6 38 pl9a 6 t pl13a 6 t 39 pl9b 6 c pl13b 6 c 40 pl10a 6 t pl14a 6 t 41 gnd6 6 gnd6 6 42 pl10b 6 c pl14b 6 c
4-15 pinout information lattice semiconductor latticeecp/ec family data sheet 43 pl11a 6 t ldqs11 pl15a 6 t ldqs15 44 pl11b 6 c pl15b 6 c 45 pl12a 6 t pl16a 6 t 46 pl12b 6 c pl16b 6 c 47 pl13a 6 t pl17a 6 t 48 pl13b 6 c pl17b 6 c 49 pl14a 6 t vref1_6 pl18a 6 t vref1_6 50 pl14b 6 c vref2_6 pl18b 6 c vref2_6 51 vccio6 6 vccio6 6 52* gnd5 gnd6 - gnd5 gnd6 - 53 vccio5 5 vccio5 5 54 nc - pb2a 5 t 55 nc - pb2b 5 c 56 nc - pb3a 5 t 57 nc - pb3b 5 c 58 nc - pb4a 5 t 59 nc - pb4b 5 c 60 nc - pb5a 5 t 61 nc - pb5b 5 c 62 nc - pb6a 5 t bdqs6 63 nc - pb6b 5 c 64 nc - vcco5 5 65 pb2a 5 t pb10a 5 t 66 pb2b 5 c pb10b 5 c 67 pb3a 5 t pb11a 5 t 68 pb3b 5 c pb11b 5 c 69 pb4a 5 t pb12a 5 t 70 pb4b 5 c pb12b 5 c 71 pb5a 5 t pb13a 5 t 72 nc - gnd5 5 73 pb5b 5 c pb13b 5 c 74 vccio5 5 vccio5 5 75 pb6a 5 t bdqs6 pb14a 5 t bdqs14 76 pb6b 5 c pb14b 5 c 77 pb7a 5 t pb15a 5 t 78 pb7b 5 c pb15b 5 c 79 pb8a 5 t vref2_5 pb16a 5 t vref2_5 80 pb8b 5 c vref1_5 pb16b 5 c vref1_5 81 pb9a 5 t pclkt5_0 pb17a 5 t pclkt5_0 82 gnd5 5 gnd5 5 83 pb9b 5 c pclkc5_0 pb17b 5 c pclkc5_0 84 vccaux - vccaux - lfec1, lfec3 logic signal connections: 208 pqfp (cont.) pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function
4-16 pinout information lattice semiconductor latticeecp/ec family data sheet 85 vccio4 4 vccio4 4 86 pb10a 4 t writen pb18a 4 t writen 87 pb10b 4 c cs1n pb18b 4 c cs1n 88 pb11a 4 t vref1_4 pb19a 4 t vref1_4 89 pb11b 4 c csn pb19b 4 c csn 90 pb12a 4 t vref2_4 pb20a 4 t vref2_4 91 pb12b 4 c d0/spid7 pb20b 4 c d0/spid7 92 pb13a 4 t d2/spid5 pb21a 4 t d2/spid5 93 gnd4 4 gnd4 4 94 pb13b 4 c d1/spid6 pb21b 4 c d1/spid6 95 pb14a 4 t bdqs14 pb22a 4 t bdqs22 96 pb14b 4 c d3/spid4 pb22b 4 c d3/spid4 97 pb15a 4 t pb23a 4 t 98 pb15b 4 c d4/spid3 pb23b 4 c d4/spid3 99 pb16a 4 t pb24a 4 t 100 pb16b 4 c d5/spid2 pb24b 4 c d5/spid2 101 pb17a 4 t pb25a 4 t 102 pb17b 4 c d6/spid1 pb25b 4 c d6/spid1 103 nc - nc - 104 vccio4 4 vccio4 4 105* gnd3 gnd4 - gnd3 gnd4 - 106 vccio3 3 vccio3 3 107 pr14b 3 c vref2_3 pr18b 3 c vref2_3 108 pr14a 3 t vref1_3 pr18a 3 t vref1_3 109 pr13b 3 c pr17b 3 c 110 pr13a 3 t pr17a 3 t 111 pr12b 3 c pr16b 3 c 112 pr12a 3 t pr16a 3 t 113 pr11b 3 c pr15b 3 c 114 pr11a 3 t rdqs11 pr15a 3 t rdqs15 115 pr10b 3 c rlm0_pllc_fb_a pr14b 3 c rlm0_pllc_fb_a 116 gnd3 3 gnd3 3 117 pr10a 3 t rlm0_pllt_fb_a pr14a 3 t rlm0_pllt_fb_a 118 pr9b 3 c rlm0_pllc_in_a pr13b 3 c rlm0_pllc_in_a 119 pr9a 3 t rlm0_pllt_in_a pr13a 3 t rlm0_pllt_in_a 120 vccio3 3 vccio3 3 121 pr8b 3 c di/csspin pr12b 3 c di/csspin 122 pr8a 3 t dout/cson pr12a 3 t dout/cson 123 pr7b 3 c busy/sispi pr11b 3 c busy/sispi 124 pr7a 3 t d7/spid0 pr11a 3 t d7/spid0 125 cfg2 3 cfg2 3 126 cfg1 3 cfg1 3 lfec1, lfec3 logic signal connections: 208 pqfp (cont.) pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function
4-17 pinout information lattice semiconductor latticeecp/ec family data sheet 127 cfg0 3 cfg0 3 128 vcc - vcc - 129 programn 3 programn 3 130 cclk 3 cclk 3 131 initn 3 initn 3 132 gnd - gnd - 133 done 3 done 3 134 gnd - gnd - 135 vcc - vcc - 136 nc - vccaux - 137 pr5b 2 c pclkc2_0 pr9b 2 c pclkc2_0 138 nc - gnd2 2 139 pr5a 2 t pclkt2_0 pr9a 2 t pclkt2_0 140 pr4b 2 c pr8b 2 c 141 pr4a 2 t pr8a 2 t 142 pr3b 2 c pr7b 2 c 143 pr3a 2 t pr7a 2 t 144 nc - pr6b 2 c 145 nc - vcco2 2 146 nc - pr6a 2 t rdqs6 147 nc - pr5b 2 c 148 nc - pr5a 2 t 149 nc - pr4b 2 c 150 nc - pr4a 2 t 151 nc - nc - 152 nc - nc - 153 pr2b 2 c vref1_2 pr2b 2 c vref1_2 154 pr2a 2 t vref2_2 pr2a 2 t vref2_2 155 vccio2 2 vccio2 2 156* gnd1 gnd2 - gnd1 gnd2 - 157 vccio1 1 vccio1 1 158 nc - nc - 159 pt17b 1 c pt25b 1 c 160 pt17a 1 t pt25a 1 t 161 pt16b 1 c pt24b 1 c 162 pt16a 1 t pt24a 1 t 163 pt15b 1 c pt23b 1 c 164 pt15a 1 t pt23a 1 t 165 pt14b 1 c pt22b 1 c 166 pt14a 1 t tdqs14 pt22a 1 t tdqs22 167 pt13b 1 c pt21b 1 c 168 gnd1 1 gnd1 1 lfec1, lfec3 logic signal connections: 208 pqfp (cont.) pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function
4-18 pinout information lattice semiconductor latticeecp/ec family data sheet 169 pt13a 1 t pt21a 1 t 170 pt12b 1 c pt20b 1 c 171 pt12a 1 t pt20a 1 t 172 pt11b 1 c vref2_1 pt19b 1 c vref2_1 173 pt11a 1 t vref1_1 pt19a 1 t vref1_1 174 pt10b 1 c pt18b 1 c 175 pt10a 1 t pt18a 1 t 176 vccio1 1 vccio1 1 177 vccaux - vccaux - 178 pt9b 0 c pclkc0_0 pt17b 0 c pclkc0_0 179 gnd0 0 gnd0 0 180 pt9a 0 t pclkt0_0 pt17a 0 t pclkt0_0 181 pt8b 0 c vref1_0 pt16b 0 c vref1_0 182 pt8a 0 t vref2_0 pt16a 0 t vref2_0 183 pt7b 0 c pt15b 0 c 184 pt7a 0 t pt15a 0 t 185 pt6b 0 c pt14b 0 c 186 pt6a 0 t tdqs6 pt14a 0 t tdqs14 187 vccio0 0 vccio0 0 188 pt5b 0 c pt13b 0 c 189 nc - gnd0 0 190 pt5a 0 t pt13a 0 t 191 pt4b 0 c pt12b 0 c 192 pt4a 0 t pt12a 0 t 193 pt3b 0 c pt11b 0 c 194 pt3a 0 t pt11a 0 t 195 pt2b 0 c pt10b 0 c 196 pt2a 0 t pt10a 0 t 197 nc - vccio0 0 198 nc - pt6b 0 c 199 nc - pt6a 0 t tdqs6 200 nc - pt5b 0 c 201 nc - pt5a 0 t 202 nc - pt4b 0 c 203 nc - pt4a 0 t 204 nc - pt3b 0 c 205 nc - pt3a 0 t 206 nc - pt2b 0 c 207 nc - pt2a 0 t 208 vccio0 0 vccio0 0 * double bonded to the pin. lfec1, lfec3 logic signal connections: 208 pqfp (cont.) pin number lfec1 lfec3 pin function bank lvds dual function pin function bank lvds dual function
4-19 pinout information lattice semiconductor latticeecp/ec family data sheet lfecp/ec6, lfecp/ec10 logic signal connections: 208 pqfp pin number lfecp6/lfec6 lfecp10/lfec10 pin function bank lvds dual function pin function bank lvds dual function 1* gnd0 gnd7 - gnd0 gnd7 - 2 vccio7 7 vccio7 7 3 pl2a 7 t vref2_7 pl2a 7 t vref2_7 4 pl2b 7 c vref1_7 pl2b 7 c vref1_7 5 nc - vcc - 6 nc - gnd - 7 pl3b 7 pl12b 7 8 pl4a 7 t pl13a 7 t 9 pl4b 7 c pl13b 7 c 10 pl5a 7 t pl14a 7 t 11 pl5b 7 c pl14b 7 c 12 pl6a 7 t ldqs6 pl15a 7 t ldqs15 13 vcco7 7 vcco7 7 14 pl6b 7 c pl15b 7 c 15 pl7a 7 t pl16a 7 t 16 pl7b 7 c pl16b 7 c 17 pl8a 7 t pl17a 7 t 18 gnd7 7 gnd7 7 19 pl8b 7 c pl17b 7 c 20 pl9a 7 t pclkt7_0 pl18a 7 t pclkt7_0 21 pl9b 7 c pclkc7_0 pl18b 7 c pclkc7_0 22 vccaux - vccaux - 23 xres 6 xres 6 24 vcc - vcc - 25 gnd - gnd - 26 vcc - vcc - 27 tck 6 tck 6 28 gnd - gnd - 29 tdi 6 tdi 6 30 tms 6 tms 6 31 tdo 6 tdo 6 32 vccj 6 vccj 6 33 pl20a 6 t llm0_pllt_in_a pl29a 6 t llm0_pllt_in_a 34 pl20b 6 c llm0_pllc_in_a pl29b 6 c llm0_pllc_in_a 35 pl21a 6 t llm0_pllt_fb_a pl30a 6 t llm0_pllt_fb_a 36 pl21b 6 c llm0_pllc_fb_a pl30b 6 c llm0_pllc_fb_a 37 vccio6 6 vccio6 6 38 pl22a 6 t pl31a 6 t 39 pl22b 6 c pl31b 6 c 40 pl23a 6 t pl32a 6 t 41 gnd6 6 gnd6 6 42 pl23b 6 c pl32b 6 c
4-20 pinout information lattice semiconductor latticeecp/ec family data sheet 43 pl24a 6 t ldqs24 pl33a 6 t ldqs33 44 pl24b 6 c pl33b 6 c 45 pl25a 6 t pl34a 6 t 46 pl25b 6 c pl34b 6 c 47 pl26a 6 t pl35a 6 t 48 pl26b 6 c pl35b 6 c 49 pl27a 6 t vref1_6 pl36a 6 t vref1_6 50 pl27b 6 c vref2_6 pl36b 6 c vref2_6 51 vccio6 6 vccio6 6 52* gnd5 gnd6 - gnd5 gnd6 - 53 vccio5 5 vccio5 5 54 pb2a 5 t pb2a 5 t 55 pb2b 5 c pb2b 5 c 56 pb3a 5 t pb3a 5 t 57 pb3b 5 c pb3b 5 c 58 pb4a 5 t pb4a 5 t 59 pb4b 5 c pb4b 5 c 60 pb5a 5 t pb5a 5 t 61 pb5b 5 c pb5b 5 c 62 pb6a 5 t bdqs6 pb6a 5 t bdqs6 63 pb6b 5 c pb6b 5 c 64 vcco5 5 vcco5 5 65 pb10a 5 t pb18a 5 t 66 pb10b 5 c pb18b 5 c 67 pb11a 5 t pb19a 5 t 68 pb11b 5 c pb19b 5 c 69 pb12a 5 t pb20a 5 t 70 pb12b 5 c pb20b 5 c 71 pb13a 5 t pb21a 5 t 72 gnd5 5 gnd5 5 73 pb13b 5 c pb21b 5 c 74 vccio5 5 vccio5 5 75 pb14a 5 t bdqs14 pb22a 5 t bdqs22 76 pb14b 5 c pb22b 5 c 77 pb15a 5 t pb23a 5 t 78 pb15b 5 c pb23b 5 c 79 pb16a 5 t vref2_5 pb24a 5 t vref2_5 80 pb16b 5 c vref1_5 pb24b 5 c vref1_5 81 pb17a 5 t pclkt5_0 pb25a 5 t pclkt5_0 82 gnd5 5 gnd5 5 83 pb17b 5 c pclkc5_0 pb25b 5 c pclkc5_0 84 vccaux - vccaux - lfecp/ec6, lfecp/ec10 logic signal connections: 208 pqfp (cont.) pin number lfecp6/lfec6 lfecp10/lfec10 pin function bank lvds dual function pin function bank lvds dual function
4-21 pinout information lattice semiconductor latticeecp/ec family data sheet 85 vccio4 4 vccio4 4 86 pb18a 4 t writen pb26a 4 t writen 87 pb18b 4 c cs1n pb26b 4 c cs1n 88 pb19a 4 t vref1_4 pb27a 4 t vref1_4 89 pb19b 4 c csn pb27b 4 c csn 90 pb20a 4 t vref2_4 pb28a 4 t vref2_4 91 pb20b 4 c d0/spid7 pb28b 4 c d0/spid7 92 pb21a 4 t d2/spid5 pb29a 4 t d2/spid5 93 gnd4 4 gnd4 4 94 pb21b 4 c d1/spid6 pb29b 4 c d1/spid6 95 pb22a 4 t bdqs22 pb30a 4 t bdqs30 96 pb22b 4 c d3/spid4 pb30b 4 c d3/spid4 97 pb23a 4 t pb31a 4 t 98 pb23b 4 c d4/spid3 pb31b 4 c d4/spid3 99 pb24a 4 t pb32a 4 t 100 pb24b 4 c d5/spid2 pb32b 4 c d5/spid2 101 pb25a 4 t pb33a 4 t 102 pb25b 4 c d6/spid1 pb33b 4 c d6/spid1 103 pb33a 4 pb41b 4 104 vccio4 4 vccio4 4 105* gnd3 gnd4 - gnd3 gnd4 - 106 vccio3 3 vccio3 3 107 pr27b 3 c vref2_3 pr36b 3 c vref2_3 108 pr27a 3 t vref1_3 pr36a 3 t vref1_3 109 pr26b 3 c pr35b 3 c 110 pr26a 3 t pr35a 3 t 111 pr25b 3 c pr34b 3 c 112 pr25a 3 t pr34a 3 t 113 pr24b 3 c pr33b 3 c 114 pr24a 3 t rdqs24 pr33a 3 t rdqs33 115 pr23b 3 c rlm0_pllc_fb_a pr32b 3 c rlm0_pllc_fb_a 116 gnd3 3 gnd3 3 117 pr23a 3 t rlm0_pllt_fb_a pr32a 3 t rlm0_pllt_fb_a 118 pr22b 3 c rlm0_pllc_in_a pr31b 3 c rlm0_pllc_in_a 119 pr22a 3 t rlm0_pllt_in_a pr31a 3 t rlm0_pllt_in_a 120 vccio3 3 vccio3 3 121 pr21b 3 c di/csspin pr30b 3 c di/csspin 122 pr21a 3 t dout/cson pr30a 3 t dout/cson 123 pr20b 3 c busy/sispi pr29b 3 c busy/sispi 124 pr20a 3 t d7/spid0 pr29a 3 t d7/spid0 125 cfg2 3 cfg2 3 126 cfg1 3 cfg1 3 lfecp/ec6, lfecp/ec10 logic signal connections: 208 pqfp (cont.) pin number lfecp6/lfec6 lfecp10/lfec10 pin function bank lvds dual function pin function bank lvds dual function
4-22 pinout information lattice semiconductor latticeecp/ec family data sheet 127 cfg0 3 cfg0 3 128 vcc - vcc - 129 programn 3 programn 3 130 cclk 3 cclk 3 131 initn 3 initn 3 132 gnd - gnd - 133 done 3 done 3 134 gnd - gnd - 135 vcc - vcc - 136 vccaux - vccaux - 137 pr9b 2 c pclkc2_0 pr18b 2 c pclkc2_0 138 gnd2 2 gnd2 2 139 pr9a 2 t pclkt2_0 pr18a 2 t pclkt2_0 140 pr8b 2 c pr17b 2 c 141 pr8a 2 t pr17a 2 t 142 pr7b 2 c pr16b 2 c 143 pr7a 2 t pr16a 2 t 144 pr6b 2 c pr15b 2 c 145 vcco2 2 vcco2 2 146 pr6a 2 t rdqs6 pr15a 2 t rdqs15 147 pr5b 2 c pr14b 2 c 148 pr5a 2 t pr14a 2 t 149 pr4b 2 c pr13b 2 c 150 pr4a 2 t pr13a 2 t 151 nc - gnd - 152 nc - vcc - 153 pr2b 2 c vref1_2 pr2b 2 c vref1_2 154 pr2a 2 t vref2_2 pr2a 2 t vref2_2 155 vccio2 2 vccio2 2 156* gnd1 gnd2 - gnd1 gnd2 - 157 vccio1 1 vccio1 1 158 pt33a 1 pt41a 1 159 pt25b 1 c pt33b 1 c 160 pt25a 1 t pt33a 1 t 161 pt24b 1 c pt32b 1 c 162 pt24a 1 t pt32a 1 t 163 pt23b 1 c pt31b 1 c 164 pt23a 1 t pt31a 1 t 165 pt22b 1 c pt30b 1 c 166 pt22a 1 t tdqs22 pt30a 1 t tdqs30 167 pt21b 1 c pt29b 1 c 168 gnd1 1 gnd1 1 lfecp/ec6, lfecp/ec10 logic signal connections: 208 pqfp (cont.) pin number lfecp6/lfec6 lfecp10/lfec10 pin function bank lvds dual function pin function bank lvds dual function
4-23 pinout information lattice semiconductor latticeecp/ec family data sheet 169 pt21a 1 t pt29a 1 t 170 pt20b 1 c pt28b 1 c 171 pt20a 1 t pt28a 1 t 172 pt19b 1 c vref2_1 pt27b 1 c vref2_1 173 pt19a 1 t vref1_1 pt27a 1 t vref1_1 174 pt18b 1 c pt26b 1 c 175 pt18a 1 t pt26a 1 t 176 vccio1 1 vccio1 1 177 vccaux - vccaux - 178 pt17b 0 c pclkc0_0 pt25b 0 c pclkc0_0 179 gnd0 0 gnd0 0 180 pt17a 0 t pclkt0_0 pt25a 0 t pclkt0_0 181 pt16b 0 c vref1_0 pt24b 0 c vref1_0 182 pt16a 0 t vref2_0 pt24a 0 t vref2_0 183 pt15b 0 c pt23b 0 c 184 pt15a 0 t pt23a 0 t 185 pt14b 0 c pt22b 0 c 186 pt14a 0 t tdqs14 pt22a 0 t tdqs22 187 vccio0 0 vccio0 0 188 pt13b 0 c pt21b 0 c 189 gnd0 0 gnd0 0 190 pt13a 0 t pt21a 0 t 191 pt12b 0 c pt20b 0 c 192 pt12a 0 t pt20a 0 t 193 pt11b 0 c pt19b 0 c 194 pt11a 0 t pt19a 0 t 195 pt10b 0 c pt18b 0 c 196 pt10a 0 t pt18a 0 t 197 vccio0 0 vccio0 0 198 pt6b 0 c pt6b 0 c 199 pt6a 0 t tdqs6 pt6a 0 t tdqs6 200 pt5b 0 c pt5b 0 c 201 pt5a 0 t pt5a 0 t 202 pt4b 0 c pt4b 0 c 203 pt4a 0 t pt4a 0 t 204 pt3b 0 c pt3b 0 c 205 pt3a 0 t pt3a 0 t 206 pt2b 0 c pt2b 0 c 207 pt2a 0 t pt2a 0 t 208 vccio0 0 vccio0 0 *double bonded to the pin. lfecp/ec6, lfecp/ec10 logic signal connections: 208 pqfp (cont.) pin number lfecp6/lfec6 lfecp10/lfec10 pin function bank lvds dual function pin function bank lvds dual function
4-24 pinout information lattice semiconductor latticeecp/ec family data sheet lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function gnd gnd7 7 gnd7 7 d4 pl2a 7 t vref2_7 pl2a 7 t vref2_7 d3 pl2b 7 c vref1_7 pl2b 7 c vref1_7 --- -- c3 pl3a 7 t pl3a 7 t c2 pl3b 7 c pl3b 7 c b1 pl4a 7 t pl4a 7 t c1 pl4b 7 c pl4b 7 c e3 pl5a 7 t pl5a 7 t e4 pl5b 7 c pl5b 7 c f4 pl6a 7 t ldqs6 pl6a 7 t ldqs6 f5 pl6b 7 c pl6b 7 c g4 pl7a 7 t pl7a 7 t g3 pl7b 7 c pl7b 7 c d2 pl8a 7 t pl8a 7 t d1 pl8b 7 c pl8b 7 c e1 pl9a 7 t pclkt7_0 pl9a 7 t pclkt7_0 gnd gnd7 7 gnd7 7 e2 pl9b 7 c pclkc7_0 pl9b 7 c pclkc7_0 f3 xres 6 xres 6 g5 nc - pl11a 6 t h5 nc - pl11b 6 c f2 nc - pl12a 6 t f1 nc - pl12b 6 c h4 nc - pl13a 6 t h3 nc - pl13b 6 c g2 nc - pl14a 6 t - - - gnd6 6 g1 nc - pl14b 6 c j4 nc - pl15a 6 t ldqs15 j3 nc - pl15b 6 c j5 nc - pl16a 6 t k5 nc - pl16b 6 c h2 nc - pl17a 6 t h1 nc - pl17b 6 c j2 nc - pl18a 6 t - - - gnd6 6 j1 nc - pl18b 6 c k4 tck 6 tck 6 k3 tdi 6 tdi 6 l3 tms 6 tms 6
4-25 pinout information lattice semiconductor latticeecp/ec family data sheet l5 tdo 6 tdo 6 l4 vccj 6 vccj 6 k2 pl11a 6 t llm0_pllt_in_a pl20a 6 t llm0_pllt_in_a k1 pl11b 6 c llm0_pllc_in_a pl20b 6 c llm0_pllc_in_a l2 pl12a 6 t llm0_pllt_fb_a pl21a 6 t llm0_pllt_fb_a l1 pl12b 6 c llm0_pllc_fb_a pl21b 6 c llm0_pllc_fb_a m2 pl13a 6 t pl22a 6 t m1 pl13b 6 c pl22b 6 c n1 pl14a 6 t pl23a 6 t gnd gnd6 6 gnd6 6 n2 pl14b 6 c pl23b 6 c m4 pl15a 6 t ldqs15 pl24a 6 t ldqs24 m3 pl15b 6 c pl24b 6 c p1 pl16a 6 t pl25a 6 t r1 pl16b 6 c pl25b 6 c p2 pl17a 6 t pl26a 6 t p3 pl17b 6 c pl26b 6 c n3 pl18a 6 t vref1_6 pl27a 6 t vref1_6 n4 pl18b 6 c vref2_6 pl27b 6 c vref2_6 gnd gnd6 6 gnd6 6 gnd gnd5 5 gnd5 5 p4 pb2a 5 t pb2a 5 t n5 pb2b 5 c pb2b 5 c p5 pb3a 5 t pb3a 5 t p6 pb3b 5 c pb3b 5 c r4 pb4a 5 t pb4a 5 t r3 pb4b 5 c pb4b 5 c t2 pb5a 5 t pb5a 5 t t3 pb5b 5 c pb5b 5 c r5 pb6a 5 t bdqs6 pb6a 5 t bdqs6 r6 pb6b 5 c pb6b 5 c t4 pb7a 5 t pb7a 5 t t5 pb7b 5 c pb7b 5 c n6 pb8a 5 t pb8a 5 t m6 pb8b 5 c pb8b 5 c t6 pb9a 5 t pb9a 5 t gnd gnd5 5 gnd5 5 t7 pb9b 5 c pb9b 5 c p7 pb10a 5 t pb10a 5 t n7 pb10b 5 c pb10b 5 c r7 pb11a 5 t pb11a 5 t lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function
4-26 pinout information lattice semiconductor latticeecp/ec family data sheet r8 pb11b 5 c pb11b 5 c m7 pb12a 5 t pb12a 5 t m8 pb12b 5 c pb12b 5 c t8 pb13a 5 t pb13a 5 t gnd gnd5 5 gnd5 5 t9 pb13b 5 c pb13b 5 c p8 pb14a 5 t bdqs14 pb14a 5 t bdqs14 n8 pb14b 5 c pb14b 5 c r9 pb15a 5 t pb15a 5 t r10 pb15b 5 c pb15b 5 c p9 pb16a 5 t vref2_5 pb16a 5 t vref2_5 n9 pb16b 5 c vref1_5 pb16b 5 c vref1_5 t10 pb17a 5 t pclkt5_0 pb17a 5 t pclkt5_0 gnd gnd5 5 gnd5 5 t11 pb17b 5 c pclkc5_0 pb17b 5 c pclkc5_0 t12 pb18a 4 t writen pb18a 4 t writen t13 pb18b 4 c cs1n pb18b 4 c cs1n p10 pb19a 4 t vref1_4 pb19a 4 t vref1_4 n10 pb19b 4 c csn pb19b 4 c csn t14 pb20a 4 t vref2_4 pb20a 4 t vref2_4 t15 pb20b 4 c d0/spid7 pb20b 4 c d0/spid7 m10 pb21a 4 t d2/spid5 pb21a 4 t d2/spid5 gnd gnd4 4 gnd4 4 m11 pb21b 4 c d1/spid6 pb21b 4 c d1/spid6 r11 pb22a 4 t bdqs22 pb22a 4 t bdqs22 p11 pb22b 4 c d3/spid4 pb22b 4 c d3/spid4 r13 pb23a 4 t pb23a 4 t r14 pb23b 4 c d4/spid3 pb23b 4 c d4/spid3 p12 pb24a 4 t pb24a 4 t p13 pb24b 4 c d5/spid2 pb24b 4 c d5/spid2 n11 pb25a 4 t pb25a 4 t - - - gnd4 4 n12 pb25b 4 c d6/spid1 pb25b 4 c d6/spid1 r12 nc - pb26a 4 gnd gnd4 4 gnd4 4 - - - gnd4 4 gnd gnd3 3 gnd3 3 n13 pr18b 3 c vref2_3 pr27b 3 c vref2_3 n14 pr18a 3 t vref1_3 pr27a 3 t vref1_3 p14 pr17b 3 c pr26b 3 c p15 pr17a 3 t pr26a 3 t lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function
4-27 pinout information lattice semiconductor latticeecp/ec family data sheet r15 pr16b 3 c pr25b 3 c r16 pr16a 3 t pr25a 3 t m13 pr15b 3 c pr24b 3 c m14 pr15a 3 t pr24a 3 t rdqs24 p16 pr14b 3 c rlm0_pllc_fb_a pr23b 3 c rlm0_pllc_fb_a gnd gnd3 3 gnd3 3 n16 pr14a 3 t rlm0_pllt_fb_a pr23a 3 t rlm0_pllt_fb_a n15 pr13b 3 c rlm0_pllc_in_a pr22b 3 c rlm0_pllc_in_a m15 pr13a 3 t rlm0_pllt_in_a pr22a 3 t rlm0_pllt_in_a m16 pr12b 3 c di/csspin pr21b 3 c di/csspin l16 pr12a 3 t dout/cson pr21a 3 t dout/cson k16 pr11b 3 c busy/sispi pr20b 3 c busy/sispi j16 pr11a 3 t d7/spid0 pr20a 3 t d7/spid0 l12 cfg2 3 cfg2 3 l14 cfg1 3 cfg1 3 l13 cfg0 3 cfg0 3 k13 programn 3 programn 3 l15 cclk 3 cclk 3 k15 initn 3 initn 3 k14 done 3 done 3 - - - gnd3 3 h16 nc - pr18b 3 c h15 nc - pr18a 3 t g16 nc - pr17b 3 c g15 nc - pr17a 3 t k12 nc - pr16b 3 c j12 nc - pr16a 3 t j14 nc - pr15b 3 c j15 nc - pr15a 3 t rdqs15 f16 nc - pr14b 3 c - - - gnd3 3 f15 nc - pr14a 3 t j13 nc - pr13b 3 c h13 nc - pr13a 3 t h14 nc - pr12b 3 c g14 nc - pr12a 3 t e16 nc - pr11b 3 c e15 nc - pr11a 3 t h12 pr9b 2 c pclkc2_0 pr9b 2 c pclkc2_0 gnd gnd2 2 gnd2 g12 pr9a 2 t pclkt2_0 pr9a 2 t pclkt2_0 lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function
4-28 pinout information lattice semiconductor latticeecp/ec family data sheet g13 pr8b 2 c pr8b 2 c f13 pr8a 2 t pr8a 2 t f12 pr7b 2 c pr7b 2 c e13 pr7a 2 t pr7a 2 t d16 pr6b 2 c pr6b 2 c d15 pr6a 2 t rdqs6 pr6a 2 t rdqs6 f14 pr5b 2 c pr5b 2 c --- -- e14 pr5a 2 t pr5a 2 t c16 pr4b 2 c pr4b 2 c b16 pr4a 2 t pr4a 2 t c15 pr3b 2 c pr3b 2 c c14 pr3a 2 t pr3a 2 t d14 pr2b 2 c vref1_2 pr2b 2 c vref1_2 d13 pr2a 2 t vref2_2 pr2a 2 t vref2_2 gnd gnd2 2 gnd2 2 gnd gnd1 1 gnd1 1 - - - gnd1 1 b13 nc - pt26b 1 c c13 nc - pt26a 1 t c12 pt25b 1 c pt25b 1 c - - - gnd1 1 d12 pt25a 1 t pt25a 1 t a15 pt24b 1 c pt24b 1 c b14 pt24a 1 t pt24a 1 t d11 pt23b 1 c pt23b 1 c c11 pt23a 1 t pt23a 1 t e10 pt22b 1 c pt22b 1 c e11 pt22a 1 t tdqs22 pt22a 1 t tdqs22 a14 pt21b 1 c pt21b 1 c gnd gnd1 1 gnd1 1 a13 pt21a 1 t pt21a 1 t d10 pt20b 1 c pt20b 1 c c10 pt20a 1 t pt20a 1 t a12 pt19b 1 c vref2_1 pt19b 1 c vref2_1 b12 pt19a 1 t vref1_1 pt19a 1 t vref1_1 a11 pt18b 1 c pt18b 1 c b11 pt18a 1 t pt18a 1 t a10 pt17b 0 c pclkc0_0 pt17b 0 c pclkc0_0 gnd gnd0 0 gnd0 0 b10 pt17a 0 t pclkt0_0 pt17a 0 t pclkt0_0 lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function
4-29 pinout information lattice semiconductor latticeecp/ec family data sheet c9 pt16b 0 c vref1_0 pt16b 0 c vref1_0 b9 pt16a 0 t vref2_0 pt16a 0 t vref2_0 e9 pt15b 0 c pt15b 0 c d9 pt15a 0 t pt15a 0 t d8 pt14b 0 c pt14b 0 c c8 pt14a 0 t tdqs14 pt14a 0 t tdqs14 a9 pt13b 0 c pt13b 0 c gnd gnd0 0 gnd0 0 a8 pt13a 0 t pt13a 0 t b8 pt12b 0 c pt12b 0 c b7 pt12a 0 t pt12a 0 t d7 pt11b 0 c pt11b 0 c c7 pt11a 0 t pt11a 0 t a7 pt10b 0 c pt10b 0 c a6 pt10a 0 t pt10a 0 t e7 pt9b 0 c pt9b 0 c gnd gnd0 0 gnd0 0 e6 pt9a 0 t pt9a 0 t d6 pt8b 0 c pt8b 0 c c6 pt8a 0 t pt8a 0 t b6 pt7b 0 c pt7b 0 c b5 pt7a 0 t pt7a 0 t a5 pt6b 0 c pt6b 0 c a4 pt6a 0 t tdqs6 pt6a 0 t tdqs6 a3 pt5b 0 c pt5b 0 c a2 pt5a 0 t pt5a 0 t b2 pt4b 0 c pt4b 0 c b3 pt4a 0 t pt4a 0 t d5 pt3b 0 c pt3b 0 c c5 pt3a 0 t pt3a 0 t c4 pt2b 0 c pt2b 0 c b4 pt2a 0 t pt2a 0 t gnd gnd0 0 gnd0 0 --- -- a1 gnd - gnd - a16 gnd - gnd - g10 gnd - gnd - g7 gnd - gnd - g8 gnd - gnd - g9 gnd - gnd - h10 gnd - gnd - lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function
4-30 pinout information lattice semiconductor latticeecp/ec family data sheet h7 gnd - gnd - h8 gnd - gnd - h9 gnd - gnd - j10 gnd - gnd - j7 gnd - gnd - j8 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k7 gnd - gnd - k8 gnd - gnd - k9 gnd - gnd - t1 gnd - gnd - t16 gnd - gnd - e12 vcc - vcc - e5 vcc - vcc - e8 vcc - vcc - m12 vcc - vcc - m5 vcc - vcc - m9 vcc - vcc - b15 vccaux - vccaux - r2 vccaux - vccaux - f7 vccio0 0 vccio0 0 f8 vccio0 0 vccio0 0 f10 vccio1 1 vccio1 1 f9 vccio1 1 vccio1 1 g11 vccio2 2 vccio2 2 h11 vccio2 2 vccio2 2 j11 vccio3 3 vccio3 3 k11 vccio3 3 vccio3 3 l10 vccio4 4 vccio4 4 l9 vccio4 4 vccio4 4 l7 vccio5 5 vccio5 5 l8 vccio5 5 vccio5 5 j6 vccio6 6 vccio6 6 k6 vccio6 6 vccio6 6 g6 vccio7 7 vccio7 7 h6 vccio7 7 vccio7 7 f6 vcc - vcc - f11 vcc - vcc - l11 vcc - vcc - l6 vcc - vcc - lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfec3 lfecp6/lfec6 ball function bank lvds dual function ball function bank lvds dual function
4-31 pinout information lattice semiconductor latticeecp/ec family data sheet lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function gnd gnd7 7 gnd7 7 d4 pl2a 7 t vref2_7 pl2a 7 t vref2_7 d3 pl2b 7 c vref1_7 pl2b 7 c vref1_7 - gnd7 7 gnd7 7 c3 pl12a 7 t pl16a 7 t c2 pl12b 7 c pl16b 7 c b1 pl13a 7 t pl17a 7 t c1 pl13b 7 c pl17b 7 c e3 pl14a 7 t pl18a 7 t - gnd7 7 gnd7 7 - - - gnd7 7 e4 pl14b 7 c pl18b 7 c f4 pl15a 7 t ldqs15 pl19a 7 t ldqs19 f5 pl15b 7 c pl19b 7 c g4 pl16a 7 t pl20a 7 t g3 pl16b 7 c pl20b 7 c d2 pl17a 7 t pl21a 7 t d1 pl17b 7 c pl21b 7 c e1 pl18a 7 t pclkt7_0 pl22a 7 t pclkt7_0 gnd gnd7 7 gnd7 7 e2 pl18b 7 c pclkc7_0 pl22b 7 c pclkc7_0 f3 xres 6 xres 6 g5 pl20a 6 t pl24a 6 t h5 pl20b 6 c pl24b 6 c f2 pl21a 6 t pl25a 6 t f1 pl21b 6 c pl25b 6 c h4 pl22a 6 t pl26a 6 t h3 pl22b 6 c pl26b 6 c g2 pl23a 6 t pl27a 6 t - gnd6 6 gnd6 6 g1 pl23b 6 c pl27b 6 c j4 pl24a 6 t ldqs24 pl28a 6 t ldqs28 j3 pl24b 6 c pl28b 6 c j5 pl25a 6 t pl29a 6 t k5 pl25b 6 c pl29b 6 c h2 pl26a 6 t pl30a 6 t h1 pl26b 6 c pl30b 6 c j2 pl27a 6 t pl31a 6 t - gnd6 6 gnd6 6 j1 pl27b 6 c pl31b 6 c k4 tck 6 tck 6
4-32 pinout information lattice semiconductor latticeecp/ec family data sheet k3 tdi 6 tdi 6 l3 tms 6 tms 6 l5 tdo 6 tdo 6 l4 vccj 6 vccj 6 k2 pl29a 6 t llm0_pllt_in_a pl37a 6 t llm0_pllt_in_a k1 pl29b 6 c llm0_pllc_in_a pl37b 6 c llm0_pllc_in_a l2 pl30a 6 t llm0_pllt_fb_a pl38a 6 t llm0_pllt_fb_a l1 pl30b 6 c llm0_pllc_fb_a pl38b 6 c llm0_pllc_fb_a m2 pl31a 6 t pl39a 6 t m1 pl31b 6 c pl39b 6 c n1 pl32a 6 t pl40a 6 t gnd gnd6 6 gnd6 6 - - - gnd6 6 n2 pl32b 6 c pl40b 6 c m4 pl33a 6 t ldqs33 pl41a 6 t ldqs41 m3 pl33b 6 c pl41b 6 c p1 pl34a 6 t pl42a 6 t r1 pl34b 6 c pl42b 6 c p2 pl35a 6 t pl43a 6 t p3 pl35b 6 c pl43b 6 c n3 pl36a 6 t vref1_6 pl44a 6 t vref1_6 n4 pl36b 6 c vref2_6 pl44b 6 c vref2_6 gnd gnd6 6 gnd6 6 gnd gnd5 5 gnd5 5 - gnd5 5 gnd5 5 p4 pb10a 5 t pb10a 5 t n5 pb10b 5 c pb10b 5 c p5 pb11a 5 t pb11a 5 t p6 pb11b 5 c pb11b 5 c r4 pb12a 5 t pb12a 5 t r3 pb12b 5 c pb12b 5 c t2 pb13a 5 t pb13a 5 t - gnd5 5 gnd5 5 t3 pb13b 5 c pb13b 5 c r5 pb14a 5 t bdqs14 pb14a 5 t bdqs14 r6 pb14b 5 c pb14b 5 c t4 pb15a 5 t pb15a 5 t t5 pb15b 5 c pb15b 5 c n6 pb16a 5 t pb16a 5 t m6 pb16b 5 c pb16b 5 c t6 pb17a 5 t pb17a 5 t lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-33 pinout information lattice semiconductor latticeecp/ec family data sheet gnd gnd5 5 gnd5 5 t7 pb17b 5 c pb17b 5 c p7 pb18a 5 t pb18a 5 t n7 pb18b 5 c pb18b 5 c r7 pb19a 5 t pb19a 5 t r8 pb19b 5 c pb19b 5 c m7 pb20a 5 t pb20a 5 t m8 pb20b 5 c pb20b 5 c t8 pb21a 5 t pb21a 5 t gnd gnd5 5 gnd5 5 t9 pb21b 5 c pb21b 5 c p8 pb22a 5 t bdqs22 pb22a 5 t bdqs22 n8 pb22b 5 c pb22b 5 c r9 pb23a 5 t pb23a 5 t r10 pb23b 5 c pb23b 5 c p9 pb24a 5 t vref2_5 pb24a 5 t vref2_5 n9 pb24b 5 c vref1_5 pb24b 5 c vref1_5 t10 pb25a 5 t pclkt5_0 pb25a 5 t pclkt5_0 gnd gnd5 5 gnd5 5 t11 pb25b 5 c pclkc5_0 pb25b 5 c pclkc5_0 t12 pb26a 4 t writen pb26a 4 t writen t13 pb26b 4 c cs1n pb26b 4 c cs1n p10 pb27a 4 t vref1_4 pb27a 4 t vref1_4 n10 pb27b 4 c csn pb27b 4 c csn t14 pb28a 4 t vref2_4 pb28a 4 t vref2_4 t15 pb28b 4 c d0/spid7 pb28b 4 c d0/spid7 m10 pb29a 4 t d2/spid5 pb29a 4 t d2/spid5 gnd gnd4 4 gnd4 4 m11 pb29b 4 c d1/spid6 pb29b 4 c d1/spid6 r11 pb30a 4 t bdqs30 pb30a 4 t bdqs30 p11 pb30b 4 c d3/spid4 pb30b 4 c d3/spid4 r13 pb31a 4 t pb31a 4 t r14 pb31b 4 c d4/spid3 pb31b 4 c d4/spid3 p12 pb32a 4 t pb32a 4 t p13 pb32b 4 c d5/spid2 pb32b 4 c d5/spid2 n11 pb33a 4 t pb33a 4 t - gnd4 4 gnd4 4 n12 pb33b 4 c d6/spid1 pb33b 4 c d6/spid1 r12 pb34a 4 pb34a 4 gnd gnd4 4 gnd4 4 - gnd4 4 gnd4 4 lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-34 pinout information lattice semiconductor latticeecp/ec family data sheet - - - gnd4 4 - - - gnd4 4 gnd gnd3 3 gnd3 3 n13 pr36b 3 c vref2_3 pr44b 3 c vref2_3 n14 pr36a 3 t vref1_3 pr44a 3 t vref1_3 p14 pr35b 3 c pr43b 3 c p15 pr35a 3 t pr43a 3 t r15 pr34b 3 c pr42b 3 c r16 pr34a 3 t pr42a 3 t m13 pr33b 3 c pr41b 3 c m14 pr33a 3 t rdqs33 pr41a 3 t rdqs41 p16 pr32b 3 c rlm0_pllc_fb_a pr40b 3 c rlm0_pllc_fb_a gnd gnd3 3 gnd3 3 n16 pr32a 3 t rlm0_pllt_fb_a pr40a 3 t rlm0_pllt_fb_a n15 pr31b 3 c rlm0_pllc_in_a pr39b 3 c rlm0_pllc_in_a m15 pr31a 3 t rlm0_pllt_in_a pr39a 3 t rlm0_pllt_in_a m16 pr30b 3 c di/csspin pr38b 3 c di/csspin l16 pr30a 3 t dout/cson pr38a 3 t dout/cson k16 pr29b 3 c busy/sispi pr37b 3 c busy/sispi j16 pr29a 3 t d7/spid0 pr37a 3 t d7/spid0 l12 cfg2 3 cfg2 3 l14 cfg1 3 cfg1 3 l13 cfg0 3 cfg0 3 k13 programn 3 programn 3 l15 cclk 3 cclk 3 k15 initn 3 initn 3 k14 done 3 done 3 - gnd3 3 gnd3 3 h16 pr27b 3 c pr31b 3 c - - - gnd3 3 h15 pr27a 3 t pr31a 3 t g16 pr26b 3 c pr30b 3 c g15 pr26a 3 t pr30a 3 t k12 pr25b 3 c pr29b 3 c j12 pr25a 3 t pr29a 3 t j14 pr24b 3 c pr28b 3 c j15 pr24a 3 t rdqs24 pr28a 3 t rdqs28 f16 pr23b 3 c pr27b 3 c - gnd3 3 gnd3 3 f15 pr23a 3 t pr27a 3 t j13 pr22b 3 c pr26b 3 c lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-35 pinout information lattice semiconductor latticeecp/ec family data sheet h13 pr22a 3 t pr26a 3 t h14 pr21b 3 c pr25b 3 c g14 pr21a 3 t pr25a 3 t e16 pr20b 3 c pr24b 3 c e15 pr20a 3 t pr24a 3 t h12 pr18b 2 c pclkc2_0 pr22b 2 c pclkc2_0 gnd gnd2 2 gnd2 2 g12 pr18a 2 t pclkt2_0 pr22a 2 t pclkt2_0 g13 pr17b 2 c pr21b 2 c f13 pr17a 2 t pr21a 2 t f12 pr16b 2 c pr20b 2 c e13 pr16a 2 t pr20a 2 t d16 pr15b 2 c pr19b 2 c d15 pr15a 2 t pr19a 2 t rdqs19 f14 pr14b 2 c pr18b 2 c - gnd2 2 gnd2 2 e14 pr14a 2 t pr18a 2 t c16 pr13b 2 c pr17b 2 c b16 pr13a 2 t pr17a 2 t c15 pr12b 2 c pr16b 2 c c14 pr12a 2 t pr16a 2 t - gnd2 2 gnd2 2 - - - gnd2 2 d14 pr2b 2 c vref1_2 pr2b 2 c vref1_2 d13 pr2a 2 t vref2_2 pr2a 2 t vref2_2 gnd gnd2 2 gnd2 2 gnd gnd1 1 gnd1 1 - gnd1 1 gnd1 1 - - - gnd1 1 b13 - - gnd1 1 c13 pt34b 1 c pt34b 1 c c12 pt34a 1 t pt34a 1 t - pt33b 1 c pt33b 1 c - gnd1 1 gnd1 1 d12 pt33a 1 t pt33a 1 t a15 pt32b 1 c pt32b 1 c b14 pt32a 1 t pt32a 1 t d11 pt31b 1 c pt31b 1 c c11 pt31a 1 t pt31a 1 t e10 pt30b 1 c pt30b 1 c e11 pt30a 1 t tdqs30 pt30a 1 t tdqs30 lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-36 pinout information lattice semiconductor latticeecp/ec family data sheet a14 pt29b 1 c pt29b 1 c gnd gnd1 1 gnd1 1 a13 pt29a 1 t pt29a 1 t d10 pt28b 1 c pt28b 1 c c10 pt28a 1 t pt28a 1 t a12 pt27b 1 c vref2_1 pt27b 1 c vref2_1 b12 pt27a 1 t vref1_1 pt27a 1 t vref1_1 a11 pt26b 1 c pt26b 1 c b11 pt26a 1 t pt26a 1 t a10 pt25b 0 c pclkc0_0 pt25b 0 c pclkc0_0 gnd gnd0 0 gnd0 0 b10 pt25a 0 t pclkt0_0 pt25a 0 t pclkt0_0 c9 pt24b 0 c vref1_0 pt24b 0 c vref1_0 b9 pt24a 0 t vref2_0 pt24a 0 t vref2_0 e9 pt23b 0 c pt23b 0 c d9 pt23a 0 t pt23a 0 t d8 pt22b 0 c pt22b 0 c c8 pt22a 0 t tdqs22 pt22a 0 t tdqs22 a9 pt21b 0 c pt21b 0 c gnd gnd0 0 gnd0 0 a8 pt21a 0 t pt21a 0 t b8 pt20b 0 c pt20b 0 c b7 pt20a 0 t pt20a 0 t d7 pt19b 0 c pt19b 0 c c7 pt19a 0 t pt19a 0 t a7 pt18b 0 c pt18b 0 c a6 pt18a 0 t pt18a 0 t e7 pt17b 0 c pt17b 0 c gnd gnd0 0 gnd0 0 e6 pt17a 0 t pt17a 0 t d6 pt16b 0 c pt16b 0 c c6 pt16a 0 t pt16a 0 t b6 pt15b 0 c pt15b 0 c b5 pt15a 0 t pt15a 0 t a5 pt14b 0 c pt14b 0 c a4 pt14a 0 t tdqs14 pt14a 0 t tdqs14 a3 pt13b 0 c pt13b 0 c - gnd0 0 gnd0 0 a2 pt13a 0 t pt13a 0 t b2 pt12b 0 c pt12b 0 c b3 pt12a 0 t pt12a 0 t lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-37 pinout information lattice semiconductor latticeecp/ec family data sheet d5 pt11b 0 c pt11b 0 c c5 pt11a 0 t pt11a 0 t c4 pt10b 0 c pt10b 0 c b4 pt10a 0 t pt10a 0 t gnd gnd0 0 gnd0 0 - gnd0 0 gnd0 0 a1 gnd - gnd - a16 gnd - gnd - g10 gnd - gnd - g7 gnd - gnd - g8 gnd - gnd - g9 gnd - gnd - h10 gnd - gnd - h7 gnd - gnd - h8 gnd - gnd - h9 gnd - gnd - j10 gnd - gnd - j7 gnd - gnd - j8 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k7 gnd - gnd - k8 gnd - gnd - k9 gnd - gnd - t1 gnd - gnd - t16 gnd - gnd - e12 vcc - vcc - e5 vcc - vcc - e8 vcc - vcc - m12 vcc - vcc - m5 vcc - vcc - m9 vcc - vcc - b15 vccaux - vccaux - r2 vccaux - vccaux - f7 vccio0 0 vccio0 0 f8 vccio0 0 vccio0 0 f10 vccio1 1 vccio1 1 f9 vccio1 1 vccio1 1 g11 vccio2 2 vccio2 2 h11 vccio2 2 vccio2 2 j11 vccio3 3 vccio3 3 lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-38 pinout information lattice semiconductor latticeecp/ec family data sheet k11 vccio3 3 vccio3 3 l10 vccio4 4 vccio4 4 l9 vccio4 4 vccio4 4 l7 vccio5 5 vccio5 5 l8 vccio5 5 vccio5 5 j6 vccio6 6 vccio6 6 k6 vccio6 6 vccio6 6 g6 vccio7 7 vccio7 7 h6 vccio7 7 vccio7 7 f6 vcc - vcc - f11 vcc - vcc - l11 vcc - vcc - l6 vcc - vcc - lfec3, lfecp/ec6, lfecp/ec10, lfecp/ec15 logic signal connections: 256 fpbga (cont.) ball number lfecp10/lfec10 lfecp15/lfec15 ball function bank lvds dual function ball function bank lvds dual function
4-39 pinout information lattice semiconductor latticeecp/ec family data sheet lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function gnd gnd7 7 gnd7 7 d4 pl2a 7 t vref2_7 pl2a 7 t vref2_7 e4 pl2b 7 c vref1_7 pl2b 7 c vref1_7 c3 nc - pl3a 7 t b2 nc - pl3b 7 c e5 nc - pl4a 7 t f5 nc - pl4b 7 c d3 nc - pl5a 7 t c2 nc - pl5b 7 c --- -- f4 nc - pl6a 7 t ldqs6 g4 nc - pl6b 7 c e3 nc - pl7a 7 t d2 nc - pl7b 7 c b1 nc - pl8a 7 t lum0_pllt_in_a c1 nc - pl8b 7 c lum0_pllc_in_a f3 nc - pl9a 7 t lum0_pllt_fb_a - - - gnd7 7 e2 nc - pl9b 7 c lum0_pllc_fb_a g5 nc - nc - h6 nc - nc - g3 nc - nc - h4 nc - nc - j5 nc - nc - h5 nc - nc - f2 nc - nc - f1 nc - nc - e1 nc - pl11a 7 t d1 nc - pl11b 7 c h3 pl3a 7 t pl12a 7 t g2 pl3b 7 c pl12b 7 c h2 pl4a 7 t pl13a 7 t g1 pl4b 7 c pl13b 7 c j4 pl5a 7 t pl14a 7 t - - - gnd7 7 j3 pl5b 7 c pl14b 7 c j2 pl6a 7 t ldqs6 pl15a 7 t ldqs15 h1 pl6b 7 c pl15b 7 c k4 pl7a 7 t pl16a 7 t k5 pl7b 7 c pl16b 7 c k3 pl8a 7 t pl17a 7 t k2 pl8b 7 c pl17b 7 c
4-40 pinout information lattice semiconductor latticeecp/ec family data sheet j1 pl9a 7 t pclkt7_0 pl18a 7 t pclkt7_0 gnd gnd7 7 gnd7 7 k1 pl9b 7 c pclkc7_0 pl18b 7 c pclkc7_0 l3 xres 6 xres 6 l4 pl11a 6 t pl20a 6 t l5 pl11b 6 c pl20b 6 c l2 pl12a 6 t pl21a 6 t l1 pl12b 6 c pl21b 6 c m4 pl13a 6 t pl22a 6 t m5 pl13b 6 c pl22b 6 c m1 pl14a 6 t pl23a 6 t gnd gnd6 6 gnd6 6 m2 pl14b 6 c pl23b 6 c n3 pl15a 6 t ldqs15 pl24a 6 t ldqs24 m3 pl15b 6 c pl24b 6 c n5 pl16a 6 t pl25a 6 t n4 pl16b 6 c pl25b 6 c n1 pl17a 6 t pl26a 6 t n2 pl17b 6 c pl26b 6 c p1 pl18a 6 t pl27a 6 t gnd gnd6 6 gnd6 6 p2 pl18b 6 c pl27b 6 c r6 nc - nc - p5 nc - nc - p3 nc - nc - p4 nc - nc - r1 nc - nc - r2 nc - nc - r5 nc - nc - r4 nc - nc - t1 nc - nc - t2 nc - nc - r3 nc - nc - t3 nc - nc - t5 tck 6 tck 6 u5 tdi 6 tdi 6 t4 tms 6 tms 6 u1 tdo 6 tdo 6 u2 vccj 6 vccj 6 v1 pl20a 6 t llm0_pllt_in_a pl29a 6 t llm0_pllt_in_a v2 pl20b 6 c llm0_pllc_in_a pl29b 6 c llm0_pllc_in_a u3 pl21a 6 t llm0_pllt_fb_a pl30a 6 t llm0_pllt_fb_a v3 pl21b 6 c llm0_pllc_fb_a pl30b 6 c llm0_pllc_fb_a lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-41 pinout information lattice semiconductor latticeecp/ec family data sheet u4 pl22a 6 t pl31a 6 t v5 pl22b 6 c pl31b 6 c w1 pl23a 6 t pl32a 6 t gnd gnd6 6 gnd6 6 w2 pl23b 6 c pl32b 6 c y1 pl24a 6 t ldqs24 pl33a 6 t ldqs33 y2 pl24b 6 c pl33b 6 c aa1 pl25a 6 t pl34a 6 t aa2 pl25b 6 c pl34b 6 c w4 pl26a 6 t pl35a 6 t v4 pl26b 6 c pl35b 6 c w3 pl27a 6 t vref1_6 pl36a 6 t vref1_6 y3 pl27b 6 c vref2_6 pl36b 6 c vref2_6 gnd gnd6 6 gnd6 6 gnd gnd5 5 gnd5 5 v7 nc - pb2a 5 t t6 nc - pb2b 5 c v8 nc - pb3a 5 t u7 nc - pb3b 5 c w5 nc - pb4a 5 t u6 nc - pb4b 5 c aa3 nc - pb5a 5 t ab3 nc - pb5b 5 c y6 nc - pb6a 5 t bdqs6 v6 nc - pb6b 5 c aa5 nc - pb7a 5 t w6 nc - pb7b 5 c y5 nc - pb8a 5 t y4 nc - pb8b 5 c aa4 nc - pb9a 5 t - - - gnd5 5 ab4 nc - pb9b 5 c y7 pb2a 5 t pb10a 5 t w8 pb2b 5 c pb10b 5 c w7 pb3a 5 t pb11a 5 t u8 pb3b 5 c pb11b 5 c w9 pb4a 5 t pb12a 5 t u9 pb4b 5 c pb12b 5 c y8 pb5a 5 t pb13a 5 t - - - gnd5 5 y9 pb5b 5 c pb13b 5 c v9 pb6a 5 t bdqs6 pb14a 5 t bdqs14 t9 pb6b 5 c pb14b 5 c lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-42 pinout information lattice semiconductor latticeecp/ec family data sheet w10 pb7a 5 t pb15a 5 t u10 pb7b 5 c pb15b 5 c v10 pb8a 5 t pb16a 5 t t10 pb8b 5 c pb16b 5 c aa6 pb9a 5 t pb17a 5 t gnd gnd5 5 gnd5 5 ab5 pb9b 5 c pb17b 5 c aa8 pb10a 5 t pb18a 5 t aa7 pb10b 5 c pb18b 5 c ab6 pb11a 5 t pb19a 5 t ab7 pb11b 5 c pb19b 5 c y10 pb12a 5 t pb20a 5 t w11 pb12b 5 c pb20b 5 c ab8 pb13a 5 t pb21a 5 t gnd gnd5 5 gnd5 5 ab9 pb13b 5 c pb21b 5 c aa10 pb14a 5 t bdqs14 pb22a 5 t bdqs22 aa9 pb14b 5 c pb22b 5 c y11 pb15a 5 t pb23a 5 t aa11 pb15b 5 c pb23b 5 c v11 pb16a 5 t vref2_5 pb24a 5 t vref2_5 v12 pb16b 5 c vref1_5 pb24b 5 c vref1_5 ab10 pb17a 5 t pclkt5_0 pb25a 5 t pclkt5_0 gnd gnd5 5 gnd5 5 ab11 pb17b 5 c pclkc5_0 pb25b 5 c pclkc5_0 y12 pb18a 4 t writen pb26a 4 t writen u11 pb18b 4 c cs1n pb26b 4 c cs1n w12 pb19a 4 t vref1_4 pb27a 4 t vref1_4 u12 pb19b 4 c csn pb27b 4 c csn w13 pb20a 4 t vref2_4 pb28a 4 t vref2_4 u13 pb20b 4 c d0/spid7 pb28b 4 c d0/spid7 aa12 pb21a 4 t d2/spid5 pb29a 4 t d2/spid5 gnd gnd4 4 gnd4 4 ab12 pb21b 4 c d1/spid6 pb29b 4 c d1/spid6 t13 pb22a 4 t bdqs22 pb30a 4 t bdqs30 v13 pb22b 4 c d3/spid4 pb30b 4 c d3/spid4 w14 pb23a 4 t pb31a 4 t u14 pb23b 4 c d4/spid3 pb31b 4 c d4/spid3 y13 pb24a 4 t pb32a 4 t v14 pb24b 4 c d5/spid2 pb32b 4 c d5/spid2 aa13 pb25a 4 t pb33a 4 t gnd gnd4 4 gnd4 4 ab13 pb25b 4 c d6/spid1 pb33b 4 c d6/spid1 lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-43 pinout information lattice semiconductor latticeecp/ec family data sheet aa14 pb26a 4 t pb34a 4 t y14 pb26b 4 c pb34b 4 c y15 pb27a 4 t pb35a 4 t w15 pb27b 4 c pb35b 4 c v15 pb28a 4 t pb36a 4 t t14 pb28b 4 c pb36b 4 c ab14 pb29a 4 t pb37a 4 t gnd gnd4 4 gnd4 4 ab15 pb29b 4 c pb37b 4 c ab16 pb30a 4 t bdqs30 pb38a 4 t bdqs38 aa15 pb30b 4 c pb38b 4 c ab17 pb31a 4 t pb39a 4 t aa16 pb31b 4 c pb39b 4 c ab18 pb32a 4 t pb40a 4 t aa17 pb32b 4 c pb40b 4 c ab19 pb33a 4 t pb41a 4 t aa18 pb33b 4 c pb41b 4 c w16 nc - nc - u15 nc - nc - v16 nc - nc - u16 nc - nc - y17 nc - nc - v17 nc - nc - ab20 nc - nc - aa19 nc - nc - y16 nc - nc - w17 nc - nc - aa20 nc - nc - y19 nc - nc - y18 nc - nc - w18 nc - nc - t17 nc - nc - u17 nc - nc - --- -- gnd gnd4 4 gnd4 4 gnd gnd3 3 gnd3 3 w20 pr27b 3 c vref2_3 pr36b 3 c vref2_3 y20 pr27a 3 t vref1_3 pr36a 3 t vref1_3 aa21 pr26b 3 c pr35b 3 c ab21 pr26a 3 t pr35a 3 t w19 pr25b 3 c pr34b 3 c v19 pr25a 3 t pr34a 3 t y21 pr24b 3 c pr33b 3 c lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-44 pinout information lattice semiconductor latticeecp/ec family data sheet aa22 pr24a 3 t rdqs24 pr33a 3 t rdqs33 v20 pr23b 3 c rlm0_pllc_fb_a pr32b 3 c rlm0_pllc_fb_a gnd gnd3 3 gnd3 3 u20 pr23a 3 t rlm0_pllt_fb_a pr32a 3 t rlm0_pllt_fb_a w21 pr22b 3 c rlm0_pllc_in_a pr31b 3 c rlm0_pllc_in_a y22 pr22a 3 t rlm0_pllt_in_a pr31a 3 t rlm0_pllt_in_a v21 pr21b 3 c di/csspin pr30b 3 c di/csspin w22 pr21a 3 t dout/cson pr30a 3 t dout/cson u21 pr20b 3 c busy/sispi pr29b 3 c busy/sispi v22 pr20a 3 t d7/spid0 pr29a 3 t d7/spid0 t19 cfg2 3 cfg2 3 u19 cfg1 3 cfg1 3 u18 cfg0 3 cfg0 3 v18 programn 3 programn 3 t20 cclk 3 cclk 3 t21 initn 3 initn 3 r20 done 3 done 3 t18 nc - nc - r17 nc - nc - r19 nc - nc - r18 nc - nc - u22 nc - nc - t22 nc - nc - r21 nc - nc - r22 nc - nc - p20 nc - nc - n20 nc - nc - p19 nc - nc - p18 nc - nc - p21 pr18b 3 c pr27b 3 c gnd gnd3 3 gnd3 3 p22 pr18a 3 t pr27a 3 t n21 pr17b 3 c pr26b 3 c n22 pr17a 3 t pr26a 3 t n19 pr16b 3 c pr25b 3 c n18 pr16a 3 t pr25a 3 t m21 pr15b 3 c pr24b 3 c l20 pr15a 3 t rdqs15 pr24a 3 t rdqs24 l21 pr14b 3 c pr23b 3 c gnd gnd3 3 gnd3 3 m20 pr14a 3 t pr23a 3 t m18 pr13b 3 c pr22b 3 c m19 pr13a 3 t pr22a 3 t lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-45 pinout information lattice semiconductor latticeecp/ec family data sheet m22 pr12b 3 c pr21b 3 c l22 pr12a 3 t pr21a 3 t k22 pr11b 3 c pr20b 3 c k21 pr11a 3 t pr20a 3 t j22 pr9b 2 c pclkc2_0 pr18b 2 c pclkc2_0 gnd gnd2 2 gnd2 2 j21 pr9a 2 t pclkt2_0 pr18a 2 t pclkt2_0 h22 pr8b 2 c pr17b 2 c h21 pr8a 2 t pr17a 2 t l19 pr7b 2 c pr16b 2 c l18 pr7a 2 t pr16a 2 t k20 pr6b 2 c pr15b 2 c j20 pr6a 2 t rdqs6 pr15a 2 t rdqs15 k19 pr5b 2 c pr14b 2 c - - - gnd2 2 k18 pr5a 2 t pr14a 2 t g22 pr4b 2 c pr13b 2 c f22 pr4a 2 t pr13a 2 t f21 pr3b 2 c pr12b 2 c e22 pr3a 2 t pr12a 2 t e21 nc - pr11b 2 c d22 nc - pr11a 2 t g21 nc - nc - g20 nc - nc - j18 nc - nc - h19 nc - nc - j19 nc - nc - h20 nc - nc - h17 nc - nc - h18 nc - nc - d21 nc - pr9b 2 c rum0_pllc_fb_a - - - gnd2 2 c22 nc - pr9a 2 t rum0_pllt_fb_a g19 nc - pr8b 2 c rum0_pllc_in_a g18 nc - pr8a 2 t rum0_pllt_in_a f20 nc - pr7b 2 c f19 nc - pr7a 2 t e20 nc - pr6b 2 c d20 nc - pr6a 2 t rdqs6 c21 nc - pr5b 2 c c20 nc - pr5a 2 t f18 nc - pr4b 2 c e18 nc - pr4a 2 t lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-46 pinout information lattice semiconductor latticeecp/ec family data sheet b22 nc - pr3b 2 c b21 nc - pr3a 2 t e19 pr2b 2 c vref1_2 pr2b 2 c vref1_2 d19 pr2a 2 t vref2_2 pr2a 2 t vref2_2 gnd gnd2 2 gnd2 2 gnd gnd1 1 gnd1 1 g17 nc - nc - f17 nc - nc - d18 nc - nc - c18 nc - nc - c19 nc - nc - b20 nc - nc - d17 nc - nc - c16 nc - nc - b19 nc - nc - a20 nc - nc - e17 nc - nc - c17 nc - nc - f16 nc - nc - e16 nc - nc - f15 nc - nc - d16 nc - nc - b18 pt33b 1 c pt41b 1 c a19 pt33a 1 t pt41a 1 t b17 pt32b 1 c pt40b 1 c a18 pt32a 1 t pt40a 1 t b16 pt31b 1 c pt39b 1 c a17 pt31a 1 t pt39a 1 t b15 pt30b 1 c pt38b 1 c a16 pt30a 1 t tdqs30 pt38a 1 t tdqs38 a15 pt29b 1 c pt37b 1 c gnd gnd1 1 gnd1 1 a14 pt29a 1 t pt37a 1 t g14 pt28b 1 c pt36b 1 c e15 pt28a 1 t pt36a 1 t d15 pt27b 1 c pt35b 1 c c15 pt27a 1 t pt35a 1 t c14 pt26b 1 c pt34b 1 c b14 pt26a 1 t pt34a 1 t a13 pt25b 1 c pt33b 1 c gnd gnd1 1 gnd1 1 b13 pt25a 1 t pt33a 1 t e14 pt24b 1 c pt32b 1 c lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-47 pinout information lattice semiconductor latticeecp/ec family data sheet c13 pt24a 1 t pt32a 1 t f14 pt23b 1 c pt31b 1 c d14 pt23a 1 t pt31a 1 t e13 pt22b 1 c pt30b 1 c g13 pt22a 1 t tdqs22 pt30a 1 t tdqs30 a12 pt21b 1 c pt29b 1 c gnd gnd1 1 gnd1 1 b12 pt21a 1 t pt29a 1 t f13 pt20b 1 c pt28b 1 c d13 pt20a 1 t pt28a 1 t f12 pt19b 1 c vref2_1 pt27b 1 c vref2_1 d12 pt19a 1 t vref1_1 pt27a 1 t vref1_1 f11 pt18b 1 c pt26b 1 c c12 pt18a 1 t pt26a 1 t a11 pt17b 0 c pclkc0_0 pt25b 0 c pclkc0_0 gnd gnd0 0 gnd0 0 a10 pt17a 0 t pclkt0_0 pt25a 0 t pclkt0_0 e12 pt16b 0 c vref1_0 pt24b 0 c vref1_0 e11 pt16a 0 t vref2_0 pt24a 0 t vref2_0 b11 pt15b 0 c pt23b 0 c c11 pt15a 0 t pt23a 0 t b9 pt14b 0 c pt22b 0 c b10 pt14a 0 t tdqs14 pt22a 0 t tdqs22 a9 pt13b 0 c pt21b 0 c gnd gnd0 0 gnd0 0 a8 pt13a 0 t pt21a 0 t d11 pt12b 0 c pt20b 0 c c10 pt12a 0 t pt20a 0 t a7 pt11b 0 c pt19b 0 c a6 pt11a 0 t pt19a 0 t b7 pt10b 0 c pt18b 0 c b8 pt10a 0 t pt18a 0 t a5 pt9b 0 c pt17b 0 c gnd gnd0 0 gnd0 0 b6 pt9a 0 t pt17a 0 t g10 pt8b 0 c pt16b 0 c e10 pt8a 0 t pt16a 0 t f10 pt7b 0 c pt15b 0 c d10 pt7a 0 t pt15a 0 t g9 pt6b 0 c pt14b 0 c e9 pt6a 0 t tdqs6 pt14a 0 t tdqs14 c9 pt5b 0 c pt13b 0 c - - - gnd0 0 lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-48 pinout information lattice semiconductor latticeecp/ec family data sheet c8 pt5a 0 t pt13a 0 t f9 pt4b 0 c pt12b 0 c d9 pt4a 0 t pt12a 0 t f8 pt3b 0 c pt11b 0 c d7 pt3a 0 t pt11a 0 t d8 pt2b 0 c pt10b 0 c c7 pt2a 0 t pt10a 0 t gnd gnd0 0 gnd0 0 a4 nc - pt9b 0 c b4 nc - pt9a 0 t c4 nc - pt8b 0 c c5 nc - pt8a 0 t d6 nc - pt7b 0 c b5 nc - pt7a 0 t e6 nc - pt6b 0 c c6 nc - pt6a 0 t tdqs6 a3 nc - pt5b 0 c --- -- b3 nc - pt5a 0 t f6 nc - pt4b 0 c d5 nc - pt4a 0 t f7 nc - pt3b 0 c e8 nc - pt3a 0 t g6 nc - pt2b 0 c e7 nc - pt2a 0 t - - - gnd0 0 a1 gnd - gnd - a22 gnd - gnd - ab1 gnd - gnd - ab22 gnd - gnd - h15 gnd - gnd - h8 gnd - gnd - j10 gnd - gnd - j11 gnd - gnd - j12 gnd - gnd - j13 gnd - gnd - j14 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k12 gnd - gnd - k13 gnd - gnd - k14 gnd - gnd - lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-49 pinout information lattice semiconductor latticeecp/ec family data sheet k9 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l12 gnd - gnd - l13 gnd - gnd - l14 gnd - gnd - l9 gnd - gnd - m10 gnd - gnd - m11 gnd - gnd - m12 gnd - gnd - m13 gnd - gnd - m14 gnd - gnd - m9 gnd - gnd - n10 gnd - gnd - n11 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n14 gnd - gnd - n9 gnd - gnd - p10 gnd - gnd - p11 gnd - gnd - p12 gnd - gnd - p13 gnd - gnd - p14 gnd - gnd - p9 gnd - gnd - r15 gnd - gnd - r8 gnd - gnd - j16 vcc - vcc - j7 vcc - vcc - k16 vcc - vcc - k17 vcc - vcc - k6 vcc - vcc - k7 vcc - vcc - l17 vcc - vcc - l6 vcc - vcc - m17 vcc - vcc - m6 vcc - vcc - n16 vcc - vcc - n17 vcc - vcc - n6 vcc - vcc - n7 vcc - vcc - p16 vcc - vcc - p7 vcc - vcc - lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-50 pinout information lattice semiconductor latticeecp/ec family data sheet g11 vccio0 0 vccio0 0 h10 vccio0 0 vccio0 0 h11 vccio0 0 vccio0 0 h9 vccio0 0 vccio0 0 g12 vccio1 1 vccio1 1 h12 vccio1 1 vccio1 1 h13 vccio1 1 vccio1 1 h14 vccio1 1 vccio1 1 j15 vccio2 2 vccio2 2 k15 vccio2 2 vccio2 2 l15 vccio2 2 vccio2 2 l16 vccio2 2 vccio2 2 m15 vccio3 3 vccio3 3 m16 vccio3 3 vccio3 3 n15 vccio3 3 vccio3 3 p15 vccio3 3 vccio3 3 r12 vccio4 4 vccio4 4 r13 vccio4 4 vccio4 4 r14 vccio4 4 vccio4 4 t12 vccio4 4 vccio4 4 r10 vccio5 5 vccio5 5 r11 vccio5 5 vccio5 5 r9 vccio5 5 vccio5 5 t11 vccio5 5 vccio5 5 m7 vccio6 6 vccio6 6 m8 vccio6 6 vccio6 6 n8 vccio6 6 vccio6 6 p8 vccio6 6 vccio6 6 j8 vccio7 7 vccio7 7 k8 vccio7 7 vccio7 7 l7 vccio7 7 vccio7 7 l8 vccio7 7 vccio7 7 g15 vccaux - vccaux - g16 vccaux - vccaux - g7 vccaux - vccaux - g8 vccaux - vccaux - h16 vccaux - vccaux - h7 vccaux - vccaux - r16 vccaux - vccaux - r7 vccaux - vccaux - t15 vccaux - vccaux - t16 vccaux - vccaux - t7 vccaux - vccaux - lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-51 pinout information lattice semiconductor latticeecp/ec family data sheet t8 vccaux - vccaux - j6 vcc - vcc - j17 vcc - vcc - p6 vcc - vcc - p17 vcc - vcc - a2 nc - nc - ab2 nc - nc - a21 nc - nc - lfecp/ec6, lfecp/ec10 logic signal connections: 484 fpbga (cont.) ball number lfecp6/lfec6 lfecp10/lfec10 ball function bank lvds dual function ball function bank lvds dual function
4-52 pinout information lattice semiconductor latticeecp/ec family data sheet lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function gnd gnd7 7 gnd7 7 d4 pl2a 7 t vref2_7 pl2a 7 t vref2_7 e4 pl2b 7 c vref1_7 pl2b 7 c vref1_7 c3 pl3a 7 t pl3a 7 t b2 pl3b 7 c pl3b 7 c e5 pl4a 7 t pl4a 7 t f5 pl4b 7 c pl4b 7 c d3 pl5a 7 t pl5a 7 t c2 pl5b 7 c pl5b 7 c f4 pl6a 7 t ldqs6 pl6a 7 t ldqs6 g4 pl6b 7 c pl6b 7 c e3 pl7a 7 t pl7a 7 t d2 pl7b 7 c pl7b 7 c b1 pl8a 7 t lum0_pllt_in_a pl8a 7 t lum0_pllt_in_a c1 pl8b 7 c lum0_pllc_in_a pl8b 7 c lum0_pllc_in_a f3 pl9a 7 t lum0_pllt_fb_a pl9a 7 t lum0_pllt_fb_a - gnd7 7 gnd7 7 e2 pl9b 7 c lum0_pllc_fb_a pl9b 7 c lum0_pllc_fb_a g5 pl11a 7 t pl11a 7 t h6 pl11b 7 c pl11b 7 c g3 pl12a 7 t pl12a 7 t h4 pl12b 7 c pl12b 7 c j5 pl13a 7 t pl13a 7 t h5 pl13b 7 c pl13b 7 c f2 pl14a 7 t pl14a 7 t - gnd7 7 gnd7 7 f1 pl14b 7 c pl14b 7 c e1 pl15a 7 t pl15a 7 t d1 pl15b 7 c pl15b 7 c h3 pl16a 7 t pl16a 7 t g2 pl16b 7 c pl16b 7 c h2 pl17a 7 t pl17a 7 t g1 pl17b 7 c pl17b 7 c j4 pl18a 7 t pl18a 7 t - gnd7 7 gnd7 7 j3 pl18b 7 c pl18b 7 c j2 pl19a 7 t ldqs19 pl19a 7 t ldqs19 h1 pl19b 7 c pl19b 7 c k4 pl20a 7 t pl20a 7 t k5 pl20b 7 c pl20b 7 c k3 pl21a 7 t pl21a 7 t k2 pl21b 7 c pl21b 7 c
4-53 pinout information lattice semiconductor latticeecp/ec family data sheet j1 pl22a 7 t pclkt7_0 pl22a 7 t pclkt7_0 gnd gnd7 7 gnd7 7 k1 pl22b 7 c pclkc7_0 pl22b 7 c pclkc7_0 l3 xres 6 xres 6 l4 pl24a 6 t pl24a 6 t l5 pl24b 6 c pl24b 6 c l2 pl25a 6 t pl25a 6 t l1 pl25b 6 c pl25b 6 c m4 pl26a 6 t pl26a 6 t m5 pl26b 6 c pl26b 6 c m1 pl27a 6 t pl27a 6 t gnd gnd6 6 gnd6 6 m2 pl27b 6 c pl27b 6 c n3 pl28a 6 t ldqs28 pl28a 6 t ldqs28 m3 pl28b 6 c pl28b 6 c n5 pl29a 6 t pl29a 6 t n4 pl29b 6 c pl29b 6 c n1 pl30a 6 t pl30a 6 t n2 pl30b 6 c pl30b 6 c p1 pl31a 6 t pl31a 6 t gnd gnd6 6 gnd6 6 p2 pl31b 6 c pl31b 6 c r6 pl32a 6 t pl32a 6 t p5 pl32b 6 c pl32b 6 c p3 pl33a 6 t pl33a 6 t p4 pl33b 6 c pl33b 6 c r1 pl34a 6 t pl34a 6 t r2 pl34b 6 c pl34b 6 c r5 pl35a 6 t pl35a 6 t - gnd6 6 gnd6 6 r4 pl35b 6 c pl35b 6 c t1 nc - pl36a 6 t ldqs36 t2 nc - pl36b 6 c r3 nc - pl37a 6 t t3 nc - pl37b 6 c t5 tck 6 tck 6 u5 tdi 6 tdi 6 t4 tms 6 tms 6 u1 tdo 6 tdo 6 u2 vccj 6 vccj 6 v1 pl37a 6 t llm0_pllt_in_a pl41a 6 t llm0_pllt_in_a v2 pl37b 6 c llm0_pllc_in_a pl41b 6 c llm0_pllc_in_a u3 pl38a 6 t llm0_pllt_fb_a pl42a 6 t llm0_pllt_fb_a lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-54 pinout information lattice semiconductor latticeecp/ec family data sheet v3 pl38b 6 c llm0_pllc_fb_a pl42b 6 c llm0_pllc_fb_a u4 pl39a 6 t pl43a 6 t v5 pl39b 6 c pl43b 6 c w1 pl40a 6 t pl44a 6 t gnd gnd6 6 gnd6 6 w2 pl40b 6 c pl44b 6 c y1 pl41a 6 t ldqs41 pl45a 6 t ldqs45 y2 pl41b 6 c pl45b 6 c aa1 pl42a 6 t pl46a 6 t aa2 pl42b 6 c pl46b 6 c w4 pl43a 6 t pl47a 6 t v4 pl43b 6 c pl47b 6 c w3 pl44a 6 t vref1_6 pl48a 6 t vref1_6 y3 pl44b 6 c vref2_6 pl48b 6 c vref2_6 gnd gnd6 6 gnd6 6 gnd gnd5 5 gnd5 5 v7 pb2a 5 t pb10a 5 t t6 pb2b 5 c pb10b 5 c v8 pb3a 5 t pb11a 5 t u7 pb3b 5 c pb11b 5 c w5 pb4a 5 t pb12a 5 t u6 pb4b 5 c pb12b 5 c aa3 pb5a 5 t pb13a 5 t - - - gnd5 5 ab3 pb5b 5 c pb13b 5 c y6 pb6a 5 t bdqs6 pb14a 5 t bdqs14 v6 pb6b 5 c pb14b 5 c aa5 pb7a 5 t pb15a 5 t w6 pb7b 5 c pb15b 5 c y5 pb8a 5 t pb16a 5 t y4 pb8b 5 c pb16b 5 c aa4 pb9a 5 t pb17a 5 t - gnd5 5 gnd5 5 ab4 pb9b 5 c pb17b 5 c y7 pb10a 5 t pb18a 5 t w8 pb10b 5 c pb18b 5 c w7 pb11a 5 t pb19a 5 t u8 pb11b 5 c pb19b 5 c w9 pb12a 5 t pb20a 5 t u9 pb12b 5 c pb20b 5 c y8 pb13a 5 t pb21a 5 t - gnd5 5 gnd5 5 y9 pb13b 5 c pb21b 5 c lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-55 pinout information lattice semiconductor latticeecp/ec family data sheet v9 pb14a 5 t bdqs14 pb22a 5 t bdqs22 t9 pb14b 5 c pb22b 5 c w10 pb15a 5 t pb23a 5 t u10 pb15b 5 c pb23b 5 c v10 pb16a 5 t pb24a 5 t t10 pb16b 5 c pb24b 5 c aa6 pb17a 5 t pb25a 5 t gnd gnd5 5 gnd5 5 ab5 pb17b 5 c pb25b 5 c aa8 pb18a 5 t pb26a 5 t aa7 pb18b 5 c pb26b 5 c ab6 pb19a 5 t pb27a 5 t ab7 pb19b 5 c pb27b 5 c y10 pb20a 5 t pb28a 5 t w11 pb20b 5 c pb28b 5 c ab8 pb21a 5 t pb29a 5 t gnd gnd5 5 gnd5 5 ab9 pb21b 5 c pb29b 5 c aa10 pb22a 5 t bdqs22 pb30a 5 t bdqs30 aa9 pb22b 5 c pb30b 5 c y11 pb23a 5 t pb31a 5 t aa11 pb23b 5 c pb31b 5 c v11 pb24a 5 t vref2_5 pb32a 5 t vref2_5 v12 pb24b 5 c vref1_5 pb32b 5 c vref1_5 ab10 pb25a 5 t pclkt5_0 pb33a 5 t pclkt5_0 gnd gnd5 5 gnd5 5 ab11 pb25b 5 c pclkc5_0 pb33b 5 c pclkc5_0 y12 pb26a 4 t writen pb34a 4 t writen u11 pb26b 4 c cs1n pb34b 4 c cs1n w12 pb27a 4 t vref1_4 pb35a 4 t vref1_4 u12 pb27b 4 c csn pb35b 4 c csn w13 pb28a 4 t vref2_4 pb36a 4 t vref2_4 u13 pb28b 4 c d0/spid7 pb36b 4 c d0/spid7 aa12 pb29a 4 t d2/spid5 pb37a 4 t d2/spid5 gnd gnd4 4 gnd4 4 ab12 pb29b 4 c d1/spid6 pb37b 4 c d1/spid6 t13 pb30a 4 t bdqs30 pb38a 4 t bdqs38 v13 pb30b 4 c d3/spid4 pb38b 4 c d3/spid4 w14 pb31a 4 t pb39a 4 t u14 pb31b 4 c d4/spid3 pb39b 4 c d4/spid3 y13 pb32a 4 t pb40a 4 t v14 pb32b 4 c d5/spid2 pb40b 4 c d5/spid2 aa13 pb33a 4 t pb41a 4 t lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-56 pinout information lattice semiconductor latticeecp/ec family data sheet gnd gnd4 4 gnd4 4 ab13 pb33b 4 c d6/spid1 pb41b 4 c d6/spid1 aa14 pb34a 4 t pb42a 4 t y14 pb34b 4 c pb42b 4 c y15 pb35a 4 t pb43a 4 t w15 pb35b 4 c pb43b 4 c v15 pb36a 4 t pb44a 4 t t14 pb36b 4 c pb44b 4 c ab14 pb37a 4 t pb45a 4 t gnd gnd4 4 gnd4 4 ab15 pb37b 4 c pb45b 4 c ab16 pb38a 4 t bdqs38 pb46a 4 t bdqs46 aa15 pb38b 4 c pb46b 4 c ab17 pb39a 4 t pb47a 4 t aa16 pb39b 4 c pb47b 4 c ab18 pb40a 4 t pb48a 4 t aa17 pb40b 4 c pb48b 4 c ab19 pb41a 4 t pb49a 4 t - gnd4 4 gnd4 4 aa18 pb41b 4 c pb49b 4 c w16 pb42a 4 t pb50a 4 t u15 pb42b 4 c pb50b 4 c v16 pb43a 4 t pb51a 4 t u16 pb43b 4 c pb51b 4 c y17 pb44a 4 t pb52a 4 t v17 pb44b 4 c pb52b 4 c ab20 pb45a 4 t pb53a 4 t - gnd4 4 gnd4 4 aa19 pb45b 4 c pb53b 4 c y16 pb46a 4 t bdqs46 pb54a 4 t bdqs54 w17 pb46b 4 c pb54b 4 c aa20 pb47a 4 t pb55a 4 t y19 pb47b 4 c pb55b 4 c y18 pb48a 4 t pb56a 4 t w18 pb48b 4 c pb56b 4 c t17 pb49a 4 t pb57a 4 t u17 pb49b 4 c pb57b 4 c --- -- gnd gnd4 4 gnd4 4 gnd gnd3 3 gnd3 3 w20 pr44b 3 c vref2_3 pr48b 3 c vref2_3 y20 pr44a 3 t vref1_3 pr48a 3 t vref1_3 aa21 pr43b 3 c pr47b 3 c lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-57 pinout information lattice semiconductor latticeecp/ec family data sheet ab21 pr43a 3 t pr47a 3 t w19 pr42b 3 c pr46b 3 c v19 pr42a 3 t pr46a 3 t y21 pr41b 3 c pr45b 3 c aa22 pr41a 3 t rdqs41 pr45a 3 t rdqs45 v20 pr40b 3 c rlm0_pllc_fb_a pr44b 3 c rlm0_pllc_in_a gnd gnd3 3 gnd3 3 u20 pr40a 3 t rlm0_pllt_fb_a pr44a 3 t rlm0_pllt_in_a w21 pr39b 3 c rlm0_pllc_in_a pr43b 3 c rlm0_pllc_fb_a y22 pr39a 3 t rlm0_pllt_in_a pr43a 3 t rlm0_pllt_fb_a v21 pr38b 3 c di/csspin pr42b 3 c di/csspin w22 pr38a 3 t dout/cson pr42a 3 t dout/cson u21 pr37b 3 c busy/sispi pr41b 3 c busy/sispi v22 pr37a 3 t d7/spid0 pr41a 3 t d7/spid0 t19 cfg2 3 cfg2 3 u19 cfg1 3 cfg1 3 u18 cfg0 3 cfg0 3 v18 programn 3 programn 3 t20 cclk 3 cclk 3 t21 initn 3 initn 3 r20 done 3 done 3 t18 nc - pr37b 3 c r17 nc - pr37a 3 t r19 nc - pr36b 3 c r18 nc - pr36a 3 t rdqs36 u22 pr35b 3 c pr35b 3 c - gnd3 3 gnd3 3 t22 pr35a 3 t pr35a 3 t r21 pr34b 3 c pr34b 3 c r22 pr34a 3 t pr34a 3 t p20 pr33b 3 c pr33b 3 c n20 pr33a 3 t pr33a 3 t p19 pr32b 3 c pr32b 3 c p18 pr32a 3 t pr32a 3 t p21 pr31b 3 c pr31b 3 c gnd gnd3 3 gnd3 3 p22 pr31a 3 t pr31a 3 t n21 pr30b 3 c pr30b 3 c n22 pr30a 3 t pr30a 3 t n19 pr29b 3 c pr29b 3 c n18 pr29a 3 t pr29a 3 t m21 pr28b 3 c pr28b 3 c l20 pr28a 3 t rdqs28 pr28a 3 t rdqs28 lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-58 pinout information lattice semiconductor latticeecp/ec family data sheet l21 pr27b 3 c pr27b 3 c gnd gnd3 3 gnd3 3 m20 pr27a 3 t pr27a 3 t m18 pr26b 3 c pr26b 3 c m19 pr26a 3 t pr26a 3 t m22 pr25b 3 c pr25b 3 c l22 pr25a 3 t pr25a 3 t k22 pr24b 3 c pr24b 3 c k21 pr24a 3 t pr24a 3 t j22 pr22b 2 c pclkc2_0 pr22b 2 c pclkc2_0 gnd gnd2 2 gnd2 2 j21 pr22a 2 t pclkt2_0 pr22a 2 t pclkt2_0 h22 pr21b 2 c pr21b 2 c h21 pr21a 2 t pr21a 2 t l19 pr20b 2 c pr20b 2 c l18 pr20a 2 t pr20a 2 t k20 pr19b 2 c pr19b 2 c j20 pr19a 2 t rdqs19 pr19a 2 t rdqs19 k19 pr18b 2 c pr18b 2 c - gnd2 2 gnd2 2 k18 pr18a 2 t pr18a 2 t g22 pr17b 2 c pr17b 2 c f22 pr17a 2 t pr17a 2 t f21 pr16b 2 c pr16b 2 c e22 pr16a 2 t pr16a 2 t e21 pr15b 2 c pr15b 2 c d22 pr15a 2 t pr15a 2 t g21 pr14b 2 c pr14b 2 c g20 gnd2 2 pr14a 2 t - pr14a 2 t gnd2 2 j18 pr13b 2 c pr13b 2 c h19 pr13a 2 t pr13a 2 t j19 pr12b 2 c pr12b 2 c h20 pr12a 2 t pr12a 2 t h17 pr11b 2 c pr11b 2 c h18 pr11a 2 t pr11a 2 t d21 pr9b 2 c rum0_pllc_fb_a pr9b 2 c rum0_pllc_fb_a - gnd2 2 gnd2 2 c22 pr9a 2 t rum0_pllt_fb_a pr9a 2 t rum0_pllt_fb_a g19 pr8b 2 c rum0_pllc_in_a pr8b 2 c rum0_pllc_in_a g18 pr8a 2 t rum0_pllt_in_a pr8a 2 t rum0_pllt_in_a f20 pr7b 2 c pr7b 2 c f19 pr7a 2 t pr7a 2 t lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-59 pinout information lattice semiconductor latticeecp/ec family data sheet e20 pr6b 2 c pr6b 2 c d20 pr6a 2 t rdqs6 pr6a 2 t rdqs6 c21 pr5b 2 c pr5b 2 c c20 pr5a 2 t pr5a 2 t f18 pr4b 2 c pr4b 2 c e18 pr4a 2 t pr4a 2 t b22 pr3b 2 c pr3b 2 c b21 pr3a 2 t pr3a 2 t --- -- e19 pr2b 2 c vref1_2 pr2b 2 c vref1_2 d19 pr2a 2 t vref2_2 pr2a 2 t vref2_2 gnd gnd2 2 gnd2 2 gnd gnd1 1 gnd1 1 g17 pt49b 1 c pt57b 1 c f17 pt49a 1 t pt57a 1 t d18 pt48b 1 c pt56b 1 c c18 pt48a 1 t pt56a 1 t c19 pt47b 1 c pt55b 1 c b20 pt47a 1 t pt55a 1 t d17 pt46b 1 c pt54b 1 c c16 pt46a 1 t tdqs46 pt54a 1 t tdqs54 b19 pt45b 1 c pt53b 1 c - gnd1 1 gnd1 1 a20 pt45a 1 t pt53a 1 t e17 pt44b 1 c pt52b 1 c c17 pt44a 1 t pt52a 1 t f16 pt43b 1 c pt51b 1 c e16 pt43a 1 t pt51a 1 t f15 pt42b 1 c pt50b 1 c d16 pt42a 1 t pt50a 1 t b18 pt41b 1 c pt49b 1 c - gnd1 1 gnd1 1 a19 pt41a 1 t pt49a 1 t b17 pt40b 1 c pt48b 1 c a18 pt40a 1 t pt48a 1 t b16 pt39b 1 c pt47b 1 c a17 pt39a 1 t pt47a 1 t b15 pt38b 1 c pt46b 1 c a16 pt38a 1 t tdqs38 pt46a 1 t tdqs46 a15 pt37b 1 c pt45b 1 c gnd gnd1 1 gnd1 1 a14 pt37a 1 t pt45a 1 t g14 pt36b 1 c pt44b 1 c lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-60 pinout information lattice semiconductor latticeecp/ec family data sheet e15 pt36a 1 t pt44a 1 t d15 pt35b 1 c pt43b 1 c c15 pt35a 1 t pt43a 1 t c14 pt34b 1 c pt42b 1 c b14 pt34a 1 t pt42a 1 t a13 pt33b 1 c pt41b 1 c gnd gnd1 1 gnd1 1 b13 pt33a 1 t pt41a 1 t e14 pt32b 1 c pt40b 1 c c13 pt32a 1 t pt40a 1 t f14 pt31b 1 c pt39b 1 c d14 pt31a 1 t pt39a 1 t e13 pt30b 1 c pt38b 1 c g13 pt30a 1 t tdqs30 pt38a 1 t tdqs38 a12 pt29b 1 c pt37b 1 c gnd gnd1 1 gnd1 1 b12 pt29a 1 t pt37a 1 t f13 pt28b 1 c pt36b 1 c d13 pt28a 1 t pt36a 1 t f12 pt27b 1 c vref2_1 pt35b 1 c vref2_1 d12 pt27a 1 t vref1_1 pt35a 1 t vref1_1 f11 pt26b 1 c pt34b 1 c c12 pt26a 1 t pt34a 1 t a11 pt25b 0 c pclkc0_0 pt33b 0 c pclkc0_0 gnd gnd0 0 gnd0 0 a10 pt25a 0 t pclkt0_0 pt33a 0 t pclkt0_0 e12 pt24b 0 c vref1_0 pt32b 0 c vref1_0 e11 pt24a 0 t vref2_0 pt32a 0 t vref2_0 b11 pt23b 0 c pt31b 0 c c11 pt23a 0 t pt31a 0 t b9 pt22b 0 c pt30b 0 c b10 pt22a 0 t tdqs22 pt30a 0 t tdqs30 a9 pt21b 0 c pt29b 0 c gnd gnd0 0 gnd0 0 a8 pt21a 0 t pt29a 0 t d11 pt20b 0 c pt28b 0 c c10 pt20a 0 t pt28a 0 t a7 pt19b 0 c pt27b 0 c a6 pt19a 0 t pt27a 0 t b7 pt18b 0 c pt26b 0 c b8 pt18a 0 t pt26a 0 t a5 pt17b 0 c pt25b 0 c gnd gnd0 0 gnd0 0 lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-61 pinout information lattice semiconductor latticeecp/ec family data sheet b6 pt17a 0 t pt25a 0 t g10 pt16b 0 c pt24b 0 c e10 pt16a 0 t pt24a 0 t f10 pt15b 0 c pt23b 0 c d10 pt15a 0 t pt23a 0 t g9 pt14b 0 c pt22b 0 c e9 pt14a 0 t tdqs14 pt22a 0 t tdqs22 c9 pt13b 0 c pt21b 0 c - gnd0 0 gnd0 0 c8 pt13a 0 t pt21a 0 t f9 pt12b 0 c pt20b 0 c d9 pt12a 0 t pt20a 0 t f8 pt11b 0 c pt19b 0 c d7 pt11a 0 t pt19a 0 t d8 pt10b 0 c pt18b 0 c c7 pt10a 0 t pt18a 0 t gnd gnd0 0 gnd0 0 a4 pt9b 0 c pt17b 0 c b4 pt9a 0 t pt17a 0 t c4 pt8b 0 c pt16b 0 c c5 pt8a 0 t pt16a 0 t d6 pt7b 0 c pt15b 0 c b5 pt7a 0 t pt15a 0 t e6 pt6b 0 c pt14b 0 c c6 pt6a 0 t tdqs6 pt14a 0 t tdqs14 a3 pt5b 0 c pt13b 0 c - - - gnd0 0 b3 pt5a 0 t pt13a 0 t f6 pt4b 0 c pt12b 0 c d5 pt4a 0 t pt12a 0 t f7 pt3b 0 c pt11b 0 c e8 pt3a 0 t pt11a 0 t g6 pt2b 0 c pt10b 0 c e7 pt2a 0 t pt10a 0 t - gnd0 0 gnd0 0 - - - gnd0 0 a1 gnd - gnd - a22 gnd - gnd - ab1 gnd - gnd - ab22 gnd - gnd - h15 gnd - gnd - h8 gnd - gnd - j10 gnd - gnd - lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-62 pinout information lattice semiconductor latticeecp/ec family data sheet j11 gnd - gnd - j12 gnd - gnd - j13 gnd - gnd - j14 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k12 gnd - gnd - k13 gnd - gnd - k14 gnd - gnd - k9 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l12 gnd - gnd - l13 gnd - gnd - l14 gnd - gnd - l9 gnd - gnd - m10 gnd - gnd - m11 gnd - gnd - m12 gnd - gnd - m13 gnd - gnd - m14 gnd - gnd - m9 gnd - gnd - n10 gnd - gnd - n11 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n14 gnd - gnd - n9 gnd - gnd - p10 gnd - gnd - p11 gnd - gnd - p12 gnd - gnd - p13 gnd - gnd - p14 gnd - gnd - p9 gnd - gnd - r15 gnd - gnd - r8 gnd - gnd - j16 vcc - vcc - j7 vcc - vcc - k16 vcc - vcc - k17 vcc - vcc - k6 vcc - vcc - k7 vcc - vcc - lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-63 pinout information lattice semiconductor latticeecp/ec family data sheet l17 vcc - vcc - l6 vcc - vcc - m17 vcc - vcc - m6 vcc - vcc - n16 vcc - vcc - n17 vcc - vcc - n6 vcc - vcc - n7 vcc - vcc - p16 vcc - vcc - p7 vcc - vcc - g11 vccio0 0 vccio0 0 h10 vccio0 0 vccio0 0 h11 vccio0 0 vccio0 0 h9 vccio0 0 vccio0 0 g12 vccio1 1 vccio1 1 h12 vccio1 1 vccio1 1 h13 vccio1 1 vccio1 1 h14 vccio1 1 vccio1 1 j15 vccio2 2 vccio2 2 k15 vccio2 2 vccio2 2 l15 vccio2 2 vccio2 2 l16 vccio2 2 vccio2 2 m15 vccio3 3 vccio3 3 m16 vccio3 3 vccio3 3 n15 vccio3 3 vccio3 3 p15 vccio3 3 vccio3 3 r12 vccio4 4 vccio4 4 r13 vccio4 4 vccio4 4 r14 vccio4 4 vccio4 4 t12 vccio4 4 vccio4 4 r10 vccio5 5 vccio5 5 r11 vccio5 5 vccio5 5 r9 vccio5 5 vccio5 5 t11 vccio5 5 vccio5 5 m7 vccio6 6 vccio6 6 m8 vccio6 6 vccio6 6 n8 vccio6 6 vccio6 6 p8 vccio6 6 vccio6 6 j8 vccio7 7 vccio7 7 k8 vccio7 7 vccio7 7 l7 vccio7 7 vccio7 7 l8 vccio7 7 vccio7 7 g15 vccaux - vccaux - lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-64 pinout information lattice semiconductor latticeecp/ec family data sheet g16 vccaux - vccaux - g7 vccaux - vccaux - g8 vccaux - vccaux - h16 vccaux - vccaux - h7 vccaux - vccaux - r16 vccaux - vccaux - r7 vccaux - vccaux - t15 vccaux - vccaux - t16 vccaux - vccaux - t7 vccaux - vccaux - t8 vccaux - vccaux - j6 vcc - vcc - j17 vcc - vcc - p6 vcc - vcc - p17 vcc - vcc - a2 nc - nc - ab2 nc - nc - a21 nc - nc - lfecp/ec15, lfecp/ec20 logic signal connections: 484 fpbga (cont.) ball number lfecp/lfec15 lfecp20/lfec20 ball function bank lvds dual function ball function bank lvds dual function
4-65 pinout information lattice semiconductor latticeecp/ec family data sheet lfecp20/lfec20 logic signal connections: 672 fpbga ball number ball function bank lvds dual function gnd gnd7 7 e3 pl2a 7 t vref2_7 e4 pl2b 7 c vref1_7 e5 nc - d5 nc - f4 nc - f5 nc - c3 nc - d3 nc - c2 nc - b2 nc - b1 pl3a 7 t c1 pl3b 7 c f3 pl4a 7 t g3 pl4b 7 c d2 pl5a 7 t e2 pl5b 7 c d1 pl6a 7 t ldqs6 e1 pl6b 7 c f2 pl7a 7 t g2 pl7b 7 c f6 pl8a 7 t lum0_pllt_in_a g6 pl8b 7 c lum0_pllc_in_a h4 pl9a 7 t lum0_pllt_fb_a gnd gnd7 7 g4 pl9b 7 c lum0_pllc_fb_a h6 nc - j7 nc - g5 nc - h5 nc - h3 nc - j3 nc - h2 nc - j2 nc - j4 pl11a 7 t j5 pl11b 7 c k4 pl12a 7 t k5 pl12b 7 c j6 pl13a 7 t k6 pl13b 7 c f1 pl14a 7 t gnd gnd7 7 g1 pl14b 7 c
4-66 pinout information lattice semiconductor latticeecp/ec family data sheet h1 pl15a 7 t j1 pl15b 7 c k2 pl16a 7 t k1 pl16b 7 c k3 pl17a 7 t l3 pl17b 7 c l2 pl18a 7 t gnd gnd7 7 l1 pl18b 7 c m3 pl19a 7 t ldqs19 m4 pl19b 7 c m1 pl20a 7 t m2 pl20b 7 c l4 pl21a 7 t l5 pl21b 7 c n2 pl22a 7 t pclkt7_0 gnd gnd7 7 n1 pl22b 7 c pclkc7_0 n3 xres 6 p1 pl24a 6 t p2 pl24b 6 c l7 pl25a 6 t l6 pl25b 6 c n4 pl26a 6 t n5 pl26b 6 c r1 pl27a 6 t gnd gnd6 6 r2 pl27b 6 c p4 pl28a 6 t ldqs28 p3 pl28b 6 c m5 pl29a 6 t m6 pl29b 6 c t1 pl30a 6 t t2 pl30b 6 c r4 pl31a 6 t gnd gnd6 6 r3 pl31b 6 c n6 pl32a 6 t p5 pl32b 6 c p6 pl33a 6 t r5 pl33b 6 c u1 pl34a 6 t u2 pl34b 6 c t3 pl35a 6 t lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-67 pinout information lattice semiconductor latticeecp/ec family data sheet gnd gnd6 6 t4 pl35b 6 c r6 pl36a 6 t ldqs36 t5 pl36b 6 c t6 pl37a 6 t u5 pl37b 6 c u3 pl38a 6 t u4 pl38b 6 c v1 pl39a 6 t gnd gnd6 6 v2 pl39b 6 c u7 tck 6 v4 tdi 6 v5 tms 6 v3 tdo 6 u6 vccj 6 w1 pl41a 6 t llm0_pllt_in_a w2 pl41b 6 c llm0_pllc_in_a v6 pl42a 6 t llm0_pllt_fb_a w6 pl42b 6 c llm0_pllc_fb_a y1 pl43a 6 t y2 pl43b 6 c w3 pl44a 6 t gnd gnd6 6 w4 pl44b 6 c aa1 pl45a 6 t ldqs45 ab1 pl45b 6 c y4 pl46a 6 t y3 pl46b 6 c ac1 pl47a 6 t ab2 pl47b 6 c aa2 nc - aa3 nc - w5 nc - y5 nc - y6 nc - w7 nc - aa4 nc - ab3 nc - ac2 nc - ac3 nc - aa5 nc - ab5 nc - ad3 nc - lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-68 pinout information lattice semiconductor latticeecp/ec family data sheet ad2 nc - ae1 nc - ad1 nc - ab4 pl48a 6 t vref1_6 ac4 pl48b 6 c vref2_6 gnd gnd6 6 gnd gnd5 5 ab6 pb2a 5 t aa6 pb2b 5 c ac7 pb3a 5 t y8 pb3b 5 c ab7 pb4a 5 t aa7 pb4b 5 c ac6 pb5a 5 t ac5 pb5b 5 c ab8 pb6a 5 t bdqs6 ac8 pb6b 5 c ae2 pb7a 5 t aa8 pb7b 5 c af2 pb8a 5 t y9 pb8b 5 c ad5 pb9a 5 t gnd gnd5 5 ad4 pb9b 5 c ad8 pb10a 5 t ac9 pb10b 5 c ae3 pb11a 5 t ab9 pb11b 5 c af3 pb12a 5 t ad9 pb12b 5 c ae4 pb13a 5 t gnd gnd5 5 af4 pb13b 5 c ae5 pb14a 5 t bdqs14 aa9 pb14b 5 c af5 pb15a 5 t y10 pb15b 5 c ad6 pb16a 5 t ac10 pb16b 5 c af6 pb17a 5 t gnd gnd5 5 ae6 pb17b 5 c af7 pb18a 5 t ab10 pb18b 5 c lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-69 pinout information lattice semiconductor latticeecp/ec family data sheet ae7 pb19a 5 t ad10 pb19b 5 c ad7 pb20a 5 t aa10 pb20b 5 c af8 pb21a 5 t gnd gnd5 5 af9 pb21b 5 c ad11 pb22a 5 t bdqs22 y11 pb22b 5 c ae8 pb23a 5 t ac11 pb23b 5 c af10 pb24a 5 t ab11 pb24b 5 c ae10 pb25a 5 t gnd gnd5 5 ae9 pb25b 5 c aa11 pb26a 5 t y12 pb26b 5 c ae11 pb27a 5 t af11 pb27b 5 c af12 pb28a 5 t ae12 pb28b 5 c ad12 pb29a 5 t gnd gnd5 5 ac12 pb29b 5 c aa12 pb30a 5 t bdqs30 ab12 pb30b 5 c ae13 pb31a 5 t af13 pb31b 5 c ad13 pb32a 5 t vref2_5 ac13 pb32b 5 c vref1_5 af14 pb33a 5 t pclkt5_0 gnd gnd5 5 ae14 pb33b 5 c pclkc5_0 aa13 pb34a 4 t writen ab13 pb34b 4 c cs1n ad14 pb35a 4 t vref1_4 aa14 pb35b 4 c csn ac14 pb36a 4 t vref2_4 ab14 pb36b 4 c d0/spid7 af15 pb37a 4 t d2/spid5 gnd gnd4 4 ae15 pb37b 4 c d1/spid6 ad15 pb38a 4 t bdqs38 lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-70 pinout information lattice semiconductor latticeecp/ec family data sheet ac15 pb38b 4 c d3/spid4 af16 pb39a 4 t y14 pb39b 4 c d4/spid3 ae16 pb40a 4 t ab15 pb40b 4 c d5/spid2 af17 pb41a 4 t gnd gnd4 4 ae17 pb41b 4 c d6/spid1 y15 pb42a 4 t aa15 pb42b 4 c ad17 pb43a 4 t y16 pb43b 4 c ad18 pb44a 4 t ac16 pb44b 4 c ae18 pb45a 4 t gnd gnd4 4 af18 pb45b 4 c ad16 pb46a 4 t bdqs46 ab16 pb46b 4 c af19 pb47a 4 t aa16 pb47b 4 c aa17 pb48a 4 t y17 pb48b 4 c af21 pb49a 4 t gnd gnd4 4 af20 pb49b 4 c ae21 pb50a 4 t ac17 pb50b 4 c af22 pb51a 4 t ab17 pb51b 4 c ae22 pb52a 4 t aa18 pb52b 4 c ae19 pb53a 4 t gnd gnd4 4 ae20 pb53b 4 c aa19 pb54a 4 t bdqs54 y18 pb54b 4 c af23 pb55a 4 t aa20 pb55b 4 c ac18 pb56a 4 t ab18 pb56b 4 c af24 pb57a 4 t ae23 pb57b 4 c ad19 nc - lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-71 pinout information lattice semiconductor latticeecp/ec family data sheet ad20 nc - ac19 nc - ab19 nc - ad21 nc - ac20 nc - af25 nc - ae25 nc - ab21 nc - ab20 nc - ae24 nc - ad23 nc - ad22 nc - ac21 nc - ac22 nc - ab22 nc - gnd gnd4 4 gnd gnd3 3 ac23 pr48b 3 c vref2_3 ac24 pr48a 3 t vref1_3 ad24 nc - ad25 nc - ae26 nc - ad26 nc - y20 nc - y19 nc - aa23 nc - aa22 nc - ab23 nc - ab24 nc - y21 nc - aa21 nc - y23 nc - y22 nc - aa24 nc - y24 nc - ac25 pr47b 3 c ac26 pr47a 3 t ab25 pr46b 3 c aa25 pr46a 3 t ab26 pr45b 3 c aa26 pr45a 3 t rdqs45 w23 pr44b 3 c rlm0_pllc_in_a gnd gnd3 3 w24 pr44a 3 t rlm0_pllt_in_a lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-72 pinout information lattice semiconductor latticeecp/ec family data sheet w22 pr43b 3 c rlm0_pllc_fb_a w21 pr43a 3 t rlm0_pllt_fb_a y25 pr42b 3 c di/csspin y26 pr42a 3 t dout/cson w25 pr41b 3 c busy/sispi w26 pr41a 3 t d7/spid0 v24 cfg2 3 v21 cfg1 3 v23 cfg0 3 v22 programn 3 v20 cclk 3 v25 initn 3 u20 done 3 v26 pr39b 3 c gnd gnd3 3 u26 pr39a 3 t u24 pr38b 3 c u25 pr38a 3 t u23 pr37b 3 c u22 pr37a 3 t u21 pr36b 3 c t21 pr36a 3 t rdqs36 t25 pr35b 3 c gnd gnd3 3 t26 pr35a 3 t t22 pr34b 3 c t23 pr34a 3 t t24 pr33b 3 c r23 pr33a 3 t r25 pr32b 3 c r24 pr32a 3 t r26 pr31b 3 c gnd gnd3 3 p26 pr31a 3 t r21 pr30b 3 c r22 pr30a 3 t p25 pr29b 3 c p24 pr29a 3 t p23 pr28b 3 c p22 pr28a 3 t rdqs28 n26 pr27b 3 c gnd gnd3 3 m26 pr27a 3 t n21 pr26b 3 c lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-73 pinout information lattice semiconductor latticeecp/ec family data sheet p21 pr26a 3 t n23 pr25b 3 c n22 pr25a 3 t n25 pr24b 3 c n24 pr24a 3 t l26 pr22b 2 c pclkc2_0 gnd gnd2 2 k26 pr22a 2 t pclkt2_0 m22 pr21b 2 c m23 pr21a 2 t m25 pr20b 2 c m24 pr20a 2 t m21 pr19b 2 c l21 pr19a 2 t rdqs19 l22 pr18b 2 c gnd gnd2 2 l23 pr18a 2 t l25 pr17b 2 c l24 pr17a 2 t k25 pr16b 2 c j25 pr16a 2 t j26 pr15b 2 c h26 pr15a 2 t h25 pr14b 2 c gnd gnd2 2 j24 pr14a 2 t k21 pr13b 2 c k22 pr13a 2 t k20 pr12b 2 c j20 pr12a 2 t k23 pr11b 2 c k24 pr11a 2 t j21 nc - j22 nc - j23 nc - h22 nc - g26 nc - f26 nc - e26 nc - e25 nc - f25 pr9b 2 c rum0_pllc_fb_a gnd gnd2 2 g25 pr9a 2 t rum0_pllt_fb_a h23 pr8b 2 c rum0_pllc_in_a lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-74 pinout information lattice semiconductor latticeecp/ec family data sheet h24 pr8a 2 t rum0_pllt_in_a h21 pr7b 2 c g21 pr7a 2 t d26 pr6b 2 c d25 pr6a 2 t rdqs6 f21 pr5b 2 c g22 pr5a 2 t g24 pr4b 2 c g23 pr4a 2 t c26 pr3b 2 c c25 pr3a 2 t f24 nc - f23 nc - e24 nc - d24 nc - e22 nc - f22 nc - e21 nc - d22 nc - e23 pr2b 2 c vref1_2 d23 pr2a 2 t vref2_2 gnd gnd2 2 gnd gnd1 1 g20 nc - f20 nc - d21 nc - c21 nc - c23 nc - c22 nc - b23 nc - c24 nc - d20 nc - e19 nc - b25 nc - b24 nc - b26 nc - a25 nc - c20 nc - c19 nc - a24 pt57b 1 c a23 pt57a 1 t e18 pt56b 1 c d19 pt56a 1 t f19 pt55b 1 c lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-75 pinout information lattice semiconductor latticeecp/ec family data sheet b22 pt55a 1 t g19 pt54b 1 c b21 pt54a 1 t tdqs54 d18 pt53b 1 c gnd gnd1 1 c18 pt53a 1 t f18 pt52b 1 c a22 pt52a 1 t g18 pt51b 1 c a21 pt51a 1 t e17 pt50b 1 c b17 pt50a 1 t c17 pt49b 1 c gnd gnd1 1 d17 pt49a 1 t f17 pt48b 1 c e20 pt48a 1 t g17 pt47b 1 c b20 pt47a 1 t e16 pt46b 1 c a20 pt46a 1 t tdqs46 a19 pt45b 1 c gnd gnd1 1 b19 pt45a 1 t d16 pt44b 1 c c16 pt44a 1 t f16 pt43b 1 c a18 pt43a 1 t g16 pt42b 1 c b18 pt42a 1 t a17 pt41b 1 c gnd gnd1 1 a16 pt41a 1 t d15 pt40b 1 c b16 pt40a 1 t e15 pt39b 1 c c15 pt39a 1 t f15 pt38b 1 c g15 pt38a 1 t tdqs38 b15 pt37b 1 c gnd gnd1 1 a15 pt37a 1 t e14 pt36b 1 c g14 pt36a 1 t lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-76 pinout information lattice semiconductor latticeecp/ec family data sheet d14 pt35b 1 c vref2_1 e13 pt35a 1 t vref1_1 f14 pt34b 1 c c14 pt34a 1 t b14 pt33b 0 c pclkc0_0 gnd gnd0 0 a14 pt33a 0 t pclkt0_0 d13 pt32b 0 c vref1_0 c13 pt32a 0 t vref2_0 a13 pt31b 0 c b13 pt31a 0 t f13 pt30b 0 c f12 pt30a 0 t tdqs30 a12 pt29b 0 c gnd gnd0 0 b12 pt29a 0 t a11 pt28b 0 c b11 pt28a 0 t d12 pt27b 0 c c12 pt27a 0 t b10 pt26b 0 c a10 pt26a 0 t g12 pt25b 0 c gnd gnd0 0 a9 pt25a 0 t e12 pt24b 0 c b9 pt24a 0 t f11 pt23b 0 c a8 pt23a 0 t d11 pt22b 0 c c11 pt22a 0 t tdqs22 b8 pt21b 0 c gnd gnd0 0 b7 pt21a 0 t e11 pt20b 0 c a7 pt20a 0 t g11 pt19b 0 c c7 pt19a 0 t g10 pt18b 0 c c6 pt18a 0 t c10 pt17b 0 c gnd gnd0 0 d10 pt17a 0 t f10 pt16b 0 c lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-77 pinout information lattice semiconductor latticeecp/ec family data sheet a6 pt16a 0 t e10 pt15b 0 c c9 pt15a 0 t g9 pt14b 0 c d9 pt14a 0 t tdqs14 a5 pt13b 0 c gnd gnd0 0 a4 pt13a 0 t f9 pt12b 0 c b6 pt12a 0 t e9 pt11b 0 c c8 pt11a 0 t g8 pt10b 0 c b5 pt10a 0 t a3 pt9b 0 c gnd gnd0 0 a2 pt9a 0 t f8 pt8b 0 c b4 pt8a 0 t e8 pt7b 0 c b3 pt7a 0 t d8 pt6b 0 c g7 pt6a 0 t tdqs6 c4 pt5b 0 c c5 pt5a 0 t e7 pt4b 0 c d4 pt4a 0 t f7 pt3b 0 c d6 pt3a 0 t d7 pt2b 0 c e6 pt2a 0 t gnd gnd0 0 k10 gnd - k11 gnd - k12 gnd - k13 gnd - k14 gnd - k15 gnd - k16 gnd - l10 gnd - l11 gnd - l12 gnd - l13 gnd - l14 gnd - lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-78 pinout information lattice semiconductor latticeecp/ec family data sheet l15 gnd - l16 gnd - l17 gnd - m10 gnd - m11 gnd - m12 gnd - m13 gnd - m14 gnd - m15 gnd - m16 gnd - m17 gnd - n10 gnd - n11 gnd - n12 gnd - n13 gnd - n14 gnd - n15 gnd - n16 gnd - n17 gnd - p10 gnd - p11 gnd - p12 gnd - p13 gnd - p14 gnd - p15 gnd - p16 gnd - p17 gnd - r10 gnd - r11 gnd - r12 gnd - r13 gnd - r14 gnd - r15 gnd - r16 gnd - r17 gnd - t10 gnd - t11 gnd - t12 gnd - t13 gnd - t14 gnd - t15 gnd - t16 gnd - t17 gnd - u10 gnd - lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-79 pinout information lattice semiconductor latticeecp/ec family data sheet u11 gnd - u12 gnd - u13 gnd - u14 gnd - u15 gnd - u16 gnd - u17 gnd - h10 vcc - h11 vcc - h16 vcc - h17 vcc - h18 vcc - h19 vcc - h8 vcc - h9 vcc - j18 vcc - j9 vcc - k8 vcc - l19 vcc - m19 vcc - n7 vcc - r20 vcc - r7 vcc - t19 vcc - v18 vcc - v8 vcc - v9 vcc - w10 vcc - w11 vcc - w16 vcc - w17 vcc - w18 vcc - w19 vcc - w8 vcc - w9 vcc - h12 vccio0 0 h13 vccio0 0 j10 vccio0 0 j11 vccio0 0 j12 vccio0 0 j13 vccio0 0 h14 vccio1 1 h15 vccio1 1 j14 vccio1 1 lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-80 pinout information lattice semiconductor latticeecp/ec family data sheet j15 vccio1 1 j16 vccio1 1 j17 vccio1 1 k17 vccio2 2 k18 vccio2 2 l18 vccio2 2 m18 vccio2 2 n18 vccio2 2 n19 vccio2 2 p18 vccio3 3 p19 vccio3 3 r18 vccio3 3 r19 vccio3 3 t18 vccio3 3 u18 vccio3 3 v14 vccio4 4 v15 vccio4 4 v16 vccio4 4 v17 vccio4 4 w14 vccio4 4 w15 vccio4 4 v10 vccio5 5 v11 vccio5 5 v12 vccio5 5 v13 vccio5 5 w12 vccio5 5 w13 vccio5 5 p8 vccio6 6 p9 vccio6 6 r8 vccio6 6 r9 vccio6 6 t9 vccio6 6 u9 vccio6 6 k9 vccio7 7 l9 vccio7 7 m8 vccio7 7 m9 vccio7 7 n8 vccio7 7 n9 vccio7 7 g13 vccaux - h20 vccaux - h7 vccaux - j19 vccaux - j8 vccaux - lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
4-81 pinout information lattice semiconductor latticeecp/ec family data sheet k7 vccaux - l20 vccaux - m20 vccaux - m7 vccaux - n20 vccaux - p20 vccaux - p7 vccaux - t20 vccaux - t7 vccaux - t8 vccaux - v19 vccaux - v7 vccaux - w20 vccaux - y13 vccaux - y7 vccaux - k19 vcc - l8 vcc - u19 vcc - u8 vcc - lfecp20/lfec20 logic signal connections: 672 fpbga (cont.) ball number ball function bank lvds dual function
www.latticesemi.com 5-1 order info_02.0 december 2004 preliminary data sheet ?2004 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. part number description ordering information note: latticeecp/ec devices are dual marked. for example, the commercial speed grade lfec20e-4f484c is also marked with industrial grade -3i (lfec20e-3f484i). the commercial grade is one speed grade faster than the associated dual mark industrial grade. the slowest commercial speed grade does not have industrial markings. the markings appear as follows: lfxxx xx x ?x xxxx x grade c = commercial i = industrial logic capacity 1* = 1.5k luts 3* = 3k luts 6 = 6k luts 10 = 10k luts 15 = 15k luts 20 = 20k luts 33 = 33k luts *not available in the latticeecp family. supply voltage e = 1.2v speed 3 = slowest 4 5 = fastest package t100 = 100-pin tqfp* t144 = 144-pin tqfp q208 = 208-pin pqfp f256 = 256-ball fpbga f484 = 484-ball fpbga f672 = 672-ball fpbga tn100 = 100-pin lead-free tqfp* tn144 = 144-pin lead-free tqfp qn208 = 208-pin lead-free pqfp fn256 = 256-ball lead-free fpbga fn484 = 484-ball lead-free fpbga fn672 = 672-ball lead-free fpbga device family lattice ec (fpga) lattice ecp (ec fpga + dsp blocks) lfec20c- 4f484c-3i datecode ec latticeecp/ec family data sheet ordering information
5-2 ordering information lattice semiconductor latticeecp/ec family data sheet conventional packaging latticeec commercial part number i/os grade package pins temp. luts lfec1e-3q208c 112 -3 pqfp 208 com 1.5k lfec1e-4q208c 112 -4 pqfp 208 com 1.5k lfec1e-5q208c 112 -5 pqfp 208 com 1.5k lfec1e-3t144c 97 -3 tqfp 144 com 1.5k lfec1e-4t144c 97 -4 tqfp 144 com 1.5k lfec1e-5t144c 97 -5 tqfp 144 com 1.5k lfec1e-3t100c 67 -3 tqfp 100 com 1.5k lfec1e-4t100c 67 -4 tqfp 100 com 1.5k lfec1e-5t100c 67 -5 tqfp 100 com 1.5k part number i/os grade package pins temp. luts lfec3e-3f256c 160 -3 fpbga 256 com 3.1k lfec3e-4f256c 160 -4 fpbga 256 com 3.1k lfec3e-5f256c 160 -5 fpbga 256 com 3.1k lfec3e-3q208c 145 -3 pqfp 208 com 3.1k lfec3e-4q208c 145 -4 pqfp 208 com 3.1k lfec3e-5q208c 145 -5 pqfp 208 com 3.1k lfec3e-3t144c 97 -3 tqfp 144 com 3.1k lfec3e-4t144c 97 -4 tqfp 144 com 3.1k lfec3e-5t144c 97 -5 tqfp 144 com 3.1k lfec3e-3t100c 67 -3 tqfp 100 com 3.1k lfec3e-4t100c 67 -4 tqfp 100 com 3.1k lfec3e-5t100c 67 -5 tqfp 100 com 3.1k part number i/os grade package pins temp. luts lfec6e-3f484c 224 -3 fpbga 484 com 6.1k lfec6e-4f484c 224 -4 fpbga 484 com 6.1k lfec6e-5f484c 224 -5 fpbga 484 com 6.1k lfec6e-3f256c 195 -3 fpbga 256 com 6.1k lfec6e-4f256c 195 -4 fpbga 256 com 6.1k lfec6e-5f256c 195 -5 fpbga 256 com 6.1k lfec6e-3q208c 147 -3 pqfp 208 com 6.1k lfec6e-4q208c 147 -4 pqfp 208 com 6.1k lfec6e-5q208c 147 -5 pqfp 208 com 6.1k lfec6e-3t144c 97 -3 tqfp 144 com 6.1k lfec6e-4t144c 97 -4 tqfp 144 com 6.1k lfec6e-5t144c 97 -5 tqfp 144 com 6.1k part number i/os grade package pins temp. luts lfec10e-3f484c 288 -3 fpbga 484 com 10.2k lfec10e-4f484c 288 -4 fpbga 484 com 10.2k lfec10e-5f484c 288 -5 fpbga 484 com 10.2k lfec10e-3f256c 195 -3 fpbga 256 com 10.2k
5-3 ordering information lattice semiconductor latticeecp/ec family data sheet lfec10e-4f256c 195 -4 fpbga 256 com 10.2k lfec10e-5f256c 195 -5 fpbga 256 com 10.2k lfec10e-3q208c 147 -3 pqfp 208 com 10.2k lfec10e-4q208c 147 -4 pqfp 208 com 10.2k lfec10e-5q208c 147 -5 pqfp 208 com 10.2k part number i/os grade package pins temp. luts lfec15e-3f484c 352 -3 fpbga 484 com 15.3k lfec15e-4f484c 352 -4 fpbga 484 com 15.3k lfec15e-5f484c 352 -5 fpbga 484 com 15.3k lfec15e-3f256c 195 -3 fpbga 256 com 15.3k lfec15e-4f256c 195 -4 fpbga 256 com 15.3k lfec15e-5f256c 195 -5 fpbga 256 com 15.3k part number i/os grade package pins temp. luts lfec20e-3f672c 400 -3 fpbga 672 com 19.7k lfec20e-4f672c 400 -4 fpbga 672 com 19.7k lfec20e-5f672c 400 -5 fpbga 672 com 19.7k lfec20e-3f484c 360 -3 fpbga 484 com 19.7k lfec20e-4f484c 360 -4 fpbga 484 com 19.7k lfec20e-5f484c 360 -5 fpbga 484 com 19.7k part number i/os grade package pins temp. luts lfec33e-3f672c 496 -3 fpbga 672 com 32.8k lfec33e-4f672c 496 -4 fpbga 672 com 32.8k lfec33e-5f672c 496 -5 fpbga 672 com 32.8k lfec33e-3f484c 360 -3 fpbga 484 com 32.8k lfec33e-4f484c 360 -4 fpbga 484 com 32.8k lfec33e-5f484c 360 -5 fpbga 484 com 32.8k latticeec commercial (continued) part number i/os grade package pins temp. luts
5-4 ordering information lattice semiconductor latticeecp/ec family data sheet latticeecp commercial part number i/os grade package pins temp. luts lfecp6e-3f484c 224 -3 fpbga 484 com 6.1k lfecp6e-4f484c 224 -4 fpbga 484 com 6.1k lfecp6e-5f484c 224 -5 fpbga 484 com 6.1k lfecp6e-3f256c 195 -3 fpbga 256 com 6.1k lfecp6e-4f256c 195 -4 fpbga 256 com 6.1k lfecp6e-5f256c 195 -5 fpbga 256 com 6.1k lfecp6e-3q208c 147 -3 pqfp 208 com 6.1k lfecp6e-4q208c 147 -4 pqfp 208 com 6.1k lfecp6e-5q208c 147 -5 pqfp 208 com 6.1k lfecp6e-3t144c 97 -3 tqfp 144 com 6.1k lfecp6e-4t144c 97 -4 tqfp 144 com 6.1k lfecp6e-5t144c 97 -5 tqfp 144 com 6.1k part number i/os grade package pins temp. luts lfecp10e-3f484c 288 -3 fpbga 484 com 10.2k lfecp10e-4f484c 288 -4 fpbga 484 com 10.2k lfecp10e-5f484c 288 -5 fpbga 484 com 10.2k lfecp10e-3f256c 195 -3 fpbga 256 com 10.2k lfecp10e-4f256c 195 -4 fpbga 256 com 10.2k lfecp10e-5f256c 195 -5 fpbga 256 com 10.2k lfecp10e-3q208c 147 -3 pqfp 208 com 10.2k lfecp10e-4q208c 147 -4 pqfp 208 com 10.2k lfecp10e-5q208c 147 -5 pqfp 208 com 10.2k part number i/os grade package pins temp. luts lfecp15e-3f484c 352 -3 fpbga 484 com 15.3k lfecp15e-4f484c 352 -4 fpbga 484 com 15.3k lfecp15e-5f484c 352 -5 fpbga 484 com 15.3k lfecp15e-3f256c 195 -3 fpbga 256 com 15.3k lfecp15e-4f256c 195 -4 fpbga 256 com 15.3k lfecp15e-5f256c 195 -5 fpbga 256 com 15.3k part number i/os grade package pins temp. luts lfecp20e-3f672c 400 -3 fpbga 672 com 19.7k lfecp20e-4f672c 400 -4 fpbga 672 com 19.7k lfecp20e-5f672c 400 -5 fpbga 672 com 19.7k lfecp20e-3f484c 360 -3 fpbga 484 com 19.7k lfecp20e-4f484c 360 -4 fpbga 484 com 19.7k lfecp20e-5f484c 360 -5 fpbga 484 com 19.7k part number i/os grade package pins temp. luts lfecp33e-3f672c 496 -3 fpbga 672 com 32.8k lfecp33e-4f672c 496 -4 fpbga 672 com 32.8k lfecp33e-5f672c 496 -5 fpbga 672 com 32.8k
5-5 ordering information lattice semiconductor latticeecp/ec family data sheet lfecp33e-3f484c 360 -3 fpbga 484 com 32.8k lfecp33e-4f484c 360 -4 fpbga 484 com 32.8k lfecp33e-5f484c 360 -5 fpbga 484 com 32.8k latticeec industrial part number i/os grade package pins temp. luts lfec1e-3q208i 112 -3 pqfp 208 ind 1.5k lfec1e-4q208i 112 -4 pqfp 208 ind 1.5k lfec1e-3t144i 97 -3 tqfp 144 ind 1.5k lfec1e-4t144i 97 -4 tqfp 144 ind 1.5k lfec1e-3t100i 67 -3 tqfp 100 ind 1.5k lfec1e-4t100i 67 -4 tqfp 100 ind 1.5k part number i/os grade package pins temp. luts lfec3e-3f256i 160 -3 fpbga 256 ind 3.1k lfec3e-4f256i 160 -4 fpbga 256 ind 3.1k lfec3e-3q208i 145 -3 pqfp 208 ind 3.1k lfec3e-4q208i 145 -4 pqfp 208 ind 3.1k lfec3e-3t144i 97 -3 tqfp 144 ind 3.1k lfec3e-4t144i 97 -4 tqfp 144 ind 3.1k lfec3e-3t100i 67 -3 tqfp 100 ind 3.1k lfec3e-4t100i 67 -4 tqfp 100 ind 3.1k part number i/os grade package pins temp. luts lfec6e-3f484i 224 -3 fpbga 484 ind 6.1k lfec6e-4f484i 224 -4 fpbga 484 ind 6.1k lfec6e-3f256i 195 -3 fpbga 256 ind 6.1k lfec6e-4f256i 195 -4 fpbga 256 ind 6.1k lfec6e-3q208i 147 -3 pqfp 208 ind 6.1k lfec6e-4q208i 147 -4 pqfp 208 ind 6.1k lfec6e-3t144i 97 -3 tqfp 144 ind 6.1k lfec6e-4t144i 97 -4 tqfp 144 ind 6.1k part number i/os grade package pins temp. luts lfec10e-3f484i 288 -3 fpbga 484 ind 10.2k lfec10e-4f484i 288 -4 fpbga 484 ind 10.2k lfec10e-3f256i 195 -3 fpbga 256 ind 10.2k lfec10e-4f256i 195 -4 fpbga 256 ind 10.2k lfec10e-3 p208i 147 -3 pqfp 208 ind 10.2k lfec10e-4 p208i 147 -4 pqfp 208 ind 10.2k latticeecp commercial (continued) part number i/os grade package pins temp. luts
5-6 ordering information lattice semiconductor latticeecp/ec family data sheet lfec15e-3f484i 352 -3 fpbga 484 ind 15.3k lfec15e-4f484i 352 -4 fpbga 484 ind 15.3k lfec15e-3f256i 195 -3 fpbga 256 ind 15.3k lfec15e-4f256i 195 -4 fpbga 256 ind 15.3k part number i/os grade package pins temp. luts lfec20e-3f672i 400 -3 fpbga 672 ind 19.7k lfec20e-4f672i 400 -4 fpbga 672 ind 19.7k lfec20e-3f484i 360 -3 fpbga 484 ind 19.7k lfec20e-4f484i 360 -4 fpbga 484 ind 19.7k part number i/os grade package pins temp. luts lfec33-3f672i 496 -3 fpbga 672 ind 32.8 lfec33-4f672i 496 -4 fpbga 672 ind 32.8 lfec33-3f484i 360 -3 fpbga 484 ind 32.8 lfec33-4f484i 360 -4 fpbga 484 ind 32.8 latticeecp industrial part number i/os grade package pins temp. luts lfecp6e-3f484i 224 -3 fpbga 484 ind 6.1k lfecp6e-4f484i 224 -4 fpbga 484 ind 6.1k lfecp6e-3f256i 195 -3 fpbga 256 ind 6.1k lfecp6e-4f256i 195 -4 fpbga 256 ind 6.1k lfecp6e-3q208i 147 -3 pqfp 208 ind 6.1k lfecp6e-4q208i 147 -4 pqfp 208 ind 6.1k lfecp6e-3t144i 97 -3 tqfp 144 ind 6.1k lfecp6e-4t144i 97 -4 tqfp 144 ind 6.1k part number i/os grade package pins temp. luts lfecp10e-3f484i 288 -3 fpbga 484 ind 10.2k lfecp10e-4f484i 288 -4 fpbga 484 ind 10.2k lfecp10e-3f256i 195 -3 fpbga 256 ind 10.2k lfecp10e-4f256i 195 -4 fpbga 256 ind 10.2k lfecp10e-3q208i 147 -3 pqfp 208 ind 10.2k lfecp10e-4q208i 147 -4 pqfp 208 ind 10.2k part number i/os grade package pins temp. luts lfecp15e-3f484i 352 -3 fpbga 484 ind 15.3k lfecp15e-4f484i 352 -4 fpbga 484 ind 15.3k lfecp15e-3f256i 195 -3 fpbga 256 ind 15.3k lfecp15e-4f256i 195 -4 fpbga 256 ind 15.3k latticeec industrial (continued) part number i/os grade package pins temp. luts
5-7 ordering information lattice semiconductor latticeecp/ec family data sheet part number i/os grade package pins temp. luts lfecp20e-3f672i 400 -3 fpbga 672 ind 19.7k lfecp20e-4f672i 400 -4 fpbga 672 ind 19.7k lfecp20e-3f484i 360 -3 fpbga 484 ind 19.7k lfecp20e-4f484i 360 -4 fpbga 484 ind 19.7k part number i/os grade package pins temp. luts lfecp33-3f672i 496 -3 fpbga 672 ind 32.8k lfecp33-4f672i 496 -4 fpbga 672 ind 32.8k lfecp33-3f484i 360 -3 fpbga 484 ind 32.8k lfecp33-4f484i 360 -4 fpbga 484 ind 32.8k latticeecp industrial (continued)
5-8 ordering information lattice semiconductor latticeecp/ec family data sheet lead-free packaging latticeec commercial part number i/os grade package pins/balls temp. luts lfec1e-3qn208c 112 -3 lead-free pqfp 208 com 1.5k lfec1e-4qn208c 112 -4 lead-free pqfp 208 com 1.5k lfec1e-5qn208c 112 -5 lead-free pqfp 208 com 1.5k lfec1e-3tn144c 97 -3 lead-free tqfp 144 com 1.5k lfec1e-4tn144c 97 -4 lead-free tqfp 144 com 1.5k lfec1e-5tn144c 97 -5 lead-free tqfp 144 com 1.5k lfec1e-3tn100c 67 -3 lead-free tqfp 100 com 1.5k lfec1e-4tn100c 67 -4 lead-free tqfp 100 com 1.5k lfec1e-5tn100c 67 -5 lead-free tqfp 100 com 1.5k part number i/os grade package pins/balls temp. luts lfec3e-3fn256c 160 -3 lead-free fpbga 256 com 3.1k lfec3e-4fn256c 160 -4 lead-free fpbga 256 com 3.1k lfec3e-5fn256c 160 -5 lead-free fpbga 256 com 3.1k lfec3e-3qn208c 145 -3 lead-free pqfp 208 com 3.1k lfec3e-4qn208c 145 -4 lead-free pqfp 208 com 3.1k lfec3e-5qn208c 145 -5 lead-free pqfp 208 com 3.1k lfec3e-3tn144c 97 -3 lead-free tqfp 144 com 3.1k lfec3e-4tn144c 97 -4 lead-free tqfp 144 com 3.1k lfec3e-5tn144c 97 -5 lead-free tqfp 144 com 3.1k lfec3e-3tn100c 67 -3 lead-free tqfp 100 com 3.1k lfec3e-4tn100c 67 -4 lead-free tqfp 100 com 3.1k lfec3e-5tn100c 67 -5 lead-free tqfp 100 com 3.1k part number i/os grade package pins/balls temp. luts lfec6e-3fn484c 224 -3 lead-free fpbga 484 com 6.1k lfec6e-4fn484c 224 -4 lead-free fpbga 484 com 6.1k lfec6e-5fn484c 224 -5 lead-free fpbga 484 com 6.1k lfec6e-3fn256c 195 -3 lead-free fpbga 256 com 6.1k lfec6e-4fn256c 195 -4 lead-free fpbga 256 com 6.1k lfec6e-5fn256c 195 -5 lead-free fpbga 256 com 6.1k lfec6e-3qn208c 147 -3 lead-free pqfp 208 com 6.1k lfec6e-4qn208c 147 -4 lead-free pqfp 208 com 6.1k lfec6e-5qn208c 147 -5 lead-free pqfp 208 com 6.1k lfec6e-3tn144c 97 -3 lead-free tqfp 144 com 6.1k lfec6e-4tn144c 97 -4 lead-free tqfp 144 com 6.1k lfec6e-5tn144c 97 -5 lead-free tqfp 144 com 6.1k part number i/os grade package pins/balls temp. luts lfec10e-3fn484c 288 -3 lead-free fpbga 484 com 10.2k lfec10e-4fn484c 288 -4 lead-free fpbga 484 com 10.2k lfec10e-5fn484c 288 -5 lead-free fpbga 484 com 10.2k lfec10e-3fn256c 195 -3 lead-free fpbga 256 com 10.2k
5-9 ordering information lattice semiconductor latticeecp/ec family data sheet lfec10e-4fn256c 195 -4 lead-free fpbga 256 com 10.2k lfec10e-5fn256c 195 -5 lead-free fpbga 256 com 10.2k lfec10e-3qn208c 147 -3 lead-free fpbga 208 com 10.2k lfec10e-4qn208c 147 -4 lead-free fpbga 208 com 10.2k lfec10e-5qn208c 147 -5 lead-free fpbga 208 com 10.2k part number i/os grade package pins/balls temp. luts lfec15e-3fn484c 352 -3 lead-free fpbga 484 com 15.3k lfec15e-4fn484c 352 -4 lead-free fpbga 484 com 15.3k lfec15e-5fn484c 352 -5 lead-free fpbga 484 com 15.3k lfec15e-3fn256c 195 -3 lead-free fpbga 256 com 15.3k lfec15e-4fn256c 195 -4 lead-free fpbga 256 com 15.3k lfec15e-5fn256c 195 -5 lead-free fpbga 256 com 15.3k part number i/os grade package pins/balls temp. luts lfec20e-3fn672c 400 -3 lead-free fpbga 672 com 19.7k lfec20e-4fn672c 400 -4 lead-free fpbga 672 com 19.7k lfec20e-5fn672c 400 -5 lead-free fpbga 672 com 19.7k lfec20e-3fn484c 400 -3 lead-free fpbga 484 com 19.7k lfec20e-4fn484c 400 -4 lead-free fpbga 484 com 19.7k lfec20e-5fn484c 400 -5 lead-free fpbga 484 com 19.7k part number i/os grade package pins/balls temp. luts lfec33e-3fn672c 496 -3 lead-free fpbga 672 com 32.8k lfec33e-4fn672c 496 -4 lead-free fpbga 672 com 32.8k lfec33e-5fn672c 496 -5 lead-free fpbga 672 com 32.8k lfec33e-3fn484c 360 -3 lead-free fpbga 484 com 32.8k lfec33e-4fn484c 360 -4 lead-free fpbga 484 com 32.8k lfec33e-5fn484c 360 -5 lead-free fpbga 484 com 32.8k latticeec commercial (continued) part number i/os grade package pins/balls temp. luts
5-10 ordering information lattice semiconductor latticeecp/ec family data sheet latticeecp commercial part number i/os grade package pins/balls temp. luts lfecp1e-3qn208c 112 -3 lead-free pqfp 208 com 1.5k lfecp1e-4qn208c 112 -4 lead-free pqfp 208 com 1.5k lfecp1e-5qn208c 112 -5 lead-free pqfp 208 com 1.5k lfecp1e-3tn144c 97 -3 lead-free tqfp 144 com 1.5k lfecp1e-4tn144c 97 -4 lead-free tqfp 144 com 1.5k lfecp1e-5tn144c 97 -5 lead-free tqfp 144 com 1.5k lfecp1e-3tn100c 67 -3 lead-free tqfp 100 com 1.5k lfecp1e-4tn100c 67 -4 lead-free tqfp 100 com 1.5k lfecp1e-5tn100c 67 -5 lead-free tqfp 100 com 1.5k part number i/os grade package pins/balls temp. luts lfecp3e-3fn256c 160 -3 lead-free fpbga 256 com 3.1k LFECP3E-4FN256C 160 -4 lead-free fpbga 256 com 3.1k lfecp3e-5fn256c 160 -5 lead-free fpbga 256 com 3.1k lfecp3e-3qn208c 145 -3 lead-free pqfp 208 com 3.1k lfecp3e-4qn208c 145 -4 lead-free pqfp 208 com 3.1k lfecp3e-5qn208c 145 -5 lead-free pqfp 208 com 3.1k lfecp3e-3tn144c 97 -3 lead-free tqfp 144 com 3.1k lfecp3e-4tn144c 97 -4 lead-free tqfp 144 com 3.1k lfecp3e-5tn144c 97 -5 lead-free tqfp 144 com 3.1k lfecp3e-3tn100c 67 -3 lead-free tqfp 100 com 3.1k lfecp3e-4tn100c 67 -4 lead-free tqfp 100 com 3.1k lfecp3e-5tn100c 67 -5 lead-free tqfp 100 com 3.1k part number i/os grade package pins/balls temp. luts lfecp6e-3fn484c 224 -3 lead-free fpbga 484 com 6.1k lfecp6e-4fn484c 224 -4 lead-free fpbga 484 com 6.1k lfecp6e-5fn484c 224 -5 lead-free fpbga 484 com 6.1k lfecp6e-3fn256c 195 -3 lead-free fpbga 256 com 6.1k lfecp6e-4fn256c 195 -4 lead-free fpbga 256 com 6.1k lfecp6e-5fn256c 195 -5 lead-free fpbga 256 com 6.1k lfecp6e-3qn208c 147 -3 lead-free pqfp 208 com 6.1k lfecp6e-4qn208c 147 -4 lead-free pqfp 208 com 6.1k lfecp6e-5qn208c 147 -5 lead-free pqfp 208 com 6.1k lfecp6e-3tn144c 97 -3 lead-free tqfp 144 com 6.1k lfecp6e-4tn144c 97 -4 lead-free tqfp 144 com 6.1k lfecp6e-5tn144c 97 -5 lead-free tqfp 144 com 6.1k part number i/os grade package pins/balls temp. luts lfecp10e-3fn484c 288 -3 lead-free fpbga 484 com 10.2k lfecp10e-4fn484c 288 -4 lead-free fpbga 484 com 10.2k lfecp10e-5fn484c 288 -5 lead-free fpbga 484 com 10.2k lfecp10e-3fn256c 195 -3 lead-free fpbga 256 com 10.2k lfecp10e-4fn256c 195 -4 lead-free fpbga 256 com 10.2k
5-11 ordering information lattice semiconductor latticeecp/ec family data sheet lfecp10e-5fn256c 195 -5 lead-free fpbga 256 com 10.2k lfecp10e-3qn208c 147 -3 lead-free fpbga 208 com 10.2k lfecp10e-4qn208c 147 -4 lead-free fpbga 208 com 10.2k lfecp10e-5qn208c 147 -5 lead-free fpbga 208 com 10.2k part number i/os grade package pins/balls temp. luts lfecp15e-3fn484c 352 -3 lead-free fpbga 484 com 15.3k lfecp15e-4fn484c 352 -4 lead-free fpbga 484 com 15.3k lfecp15e-5fn484c 352 -5 lead-free fpbga 484 com 15.3k lfecp15e-3fn256c 195 -3 lead-free fpbga 256 com 15.3k lfecp15e-4fn256c 195 -4 lead-free fpbga 256 com 15.3k lfecp15e-5fn256c 195 -5 lead-free fpbga 256 com 15.3k part number i/os grade package pins/balls temp. luts lfecp20e-3fn672c 400 -3 lead-free fpbga 672 com 19.7k lfecp20e-4fn672c 400 -4 lead-free fpbga 672 com 19.7k lfecp20e-5fn672c 400 -5 lead-free fpbga 672 com 19.7k lfecp20e-3fn484c 400 -3 lead-free fpbga 484 com 19.7k lfecp20e-4fn484c 400 -4 lead-free fpbga 484 com 19.7k lfecp20e-5fn484c 400 -5 lead-free fpbga 484 com 19.7k part number i/os grade package pins/balls temp. luts lfecp33e-3fn672c 496 -3 lead-free fpbga 672 com 32.8k lfecp33e-4fn672c 496 -4 lead-free fpbga 672 com 32.8k lfecp33e-5fn672c 496 -5 lead-free fpbga 672 com 32.8k lfecp33e-3fn484c 360 -3 lead-free fpbga 484 com 32.8k lfecp33e-4fn484c 360 -4 lead-free fpbga 484 com 32.8k lfecp33e-5fn484c 360 -5 lead-free fpbga 484 com 32.8k latticeecp commercial (continued) part number i/os grade package pins/balls temp. luts
5-12 ordering information lattice semiconductor latticeecp/ec family data sheet latticeec industrial part number i/os grade package pins/balls temp. luts lfec1e-3qn208i 112 -3 lead-free pqfp 208 ind 1.5k lfec1e-4qn208i 112 -4 lead-free pqfp 208 ind 1.5k lfec1e-3tn144i 97 -3 lead-free tqfp 144 ind 1.5k lfec1e-4tn144i 97 -4 lead-free tqfp 144 ind 1.5k lfec1e-3tn100i 67 -3 lead-free tqfp 100 ind 1.5k lfec1e-4tn100i 67 -4 lead-free tqfp 100 ind 1.5k part number i/os grade package pins/balls temp. luts lfec3e-3fn256i 160 -3 lead-free fpbga 256 ind 3.1k lfec3e-4fn256i 160 -4 lead-free fpbga 256 ind 3.1k lfec3e-3qn208i 145 -3 lead-free pqfp 208 ind 3.1k lfec3e-4qn208i 145 -4 lead-free pqfp 208 ind 3.1k lfec3e-3tn144i 97 -3 lead-free tqfp 144 ind 3.1k lfec3e-4tn144i 97 -4 lead-free tqfp 144 ind 3.1k lfec3e-3tn100i 67 -3 lead-free tqfp 100 ind 3.1k lfec3e-4tn100i 67 -4 lead-free tqfp 100 ind 3.1k part number i/os grade package pins/balls temp. luts lfec6e-3fn484i 224 -3 lead-free fpbga 484 ind 6.1k lfec6e-4fn484i 224 -4 lead-free fpbga 484 ind 6.1k lfec6e-3fn256i 195 -3 lead-free fpbga 256 ind 6.1k lfec6e-4fn256i 195 -4 lead-free fpbga 256 ind 6.1k lfec6e-3qn208i 147 -3 lead-free pqfp 208 ind 6.1k lfec6e-4qn208i 147 -4 lead-free pqfp 208 ind 6.1k lfec6e-3tn144i 97 -3 lead-free tqfp 144 ind 6.1k lfec6e-4tn144i 97 -4 lead-free tqfp 144 ind 6.1k part number i/os grade package pins/balls temp. luts lfec10e-3fn484i 288 -3 lead-free fpbga 484 ind 10.2k lfec10e-4fn484i 288 -4 lead-free fpbga 484 ind 10.2k lfec10e-3fn256i 195 -3 lead-free fpbga 256 ind 10.2k lfec10e-4fn256i 195 -4 lead-free fpbga 256 ind 10.2k lfec10e-3qn208i 147 -3 lead-free fpbga 208 ind 10.2k lfec10e-4qn208i 147 -4 lead-free fpbga 208 ind 10.2k part number i/os grade package pins/balls temp. luts lfec15e-3fn484i 352 -3 lead-free fpbga 484 ind 15.3k lfec15e-4fn484i 352 -4 lead-free fpbga 484 ind 15.3k lfec15e-3fn256i 195 -3 lead-free fpbga 256 ind 15.3k lfec15e-4fn256i 195 -4 lead-free fpbga 256 ind 15.3k
5-13 ordering information lattice semiconductor latticeecp/ec family data sheet lfec20e-3fn672i 400 -3 lead-free fpbga 672 ind 19.7k lfec20e-4fn672i 400 -4 lead-free fpbga 672 ind 19.7k lfec20e-3fn484i 400 -3 lead-free fpbga 484 ind 19.7k lfec20e-4fn484i 400 -4 lead-free fpbga 484 ind 19.7k part number i/os grade package pins/balls temp. luts lfec33e-3fn672i 496 -3 lead-free fpbga 672 ind 32.8k lfec33e-4fn672i 496 -4 lead-free fpbga 672 ind 32.8k lfec33e-3fn484i 360 -3 lead-free fpbga 484 ind 32.8k lfec33e-4fn484i 360 -4 lead-free fpbga 484 ind 32.8k latticeecp industrial part number i/os grade package pins/balls temp. luts lfecp1e-3qn208i 112 -3 lead-free pqfp 208 ind 1.5k lfecp1e-4qn208i 112 -4 lead-free pqfp 208 ind 1.5k lfecp1e-3tn144i 97 -3 lead-free tqfp 144 ind 1.5k lfecp1e-4tn144i 97 -4 lead-free tqfp 144 ind 1.5k lfecp1e-3tn100i 67 -3 lead-free tqfp 100 ind 1.5k lfecp1e-4tn100i 67 -4 lead-free tqfp 100 ind 1.5k part number i/os grade package pins/balls temp. luts lfecp3e-3fn256i 160 -3 lead-free fpbga 256 ind 3.1k lfecp3e-4fn256i 160 -4 lead-free fpbga 256 ind 3.1k lfecp3e-3qn208i 145 -3 lead-free pqfp 208 ind 3.1k lfecp3e-4qn208i 145 -4 lead-free pqfp 208 ind 3.1k lfecp3e-3tn144i 97 -3 lead-free tqfp 144 ind 3.1k lfecp3e-4tn144i 97 -4 lead-free tqfp 144 ind 3.1k lfecp3e-3tn100i 67 -3 lead-free tqfp 100 ind 3.1k lfecp3e-4tn100i 67 -4 lead-free tqfp 100 ind 3.1k part number i/os grade package pins/balls temp. luts lfecp6e-3fn484i 224 -3 lead-free fpbga 484 ind 6.1k lfecp6e-4fn484i 224 -4 lead-free fpbga 484 ind 6.1k lfecp6e-3fn256i 195 -3 lead-free fpbga 256 ind 6.1k lfecp6e-4fn256i 195 -4 lead-free fpbga 256 ind 6.1k lfecp6e-3qn208i 147 -3 lead-free pqfp 208 ind 6.1k lfecp6e-4qn208i 147 -4 lead-free pqfp 208 ind 6.1k lfecp6e-3tn144i 97 -3 lead-free tqfp 144 ind 6.1k lfecp6e-4tn144i 97 -4 lead-free tqfp 144 ind 6.1k latticeec industrial (continued) part number i/os grade package pins/balls temp. luts
5-14 ordering information lattice semiconductor latticeecp/ec family data sheet lfecp10e-3fn484i 288 -3 lead-free fpbga 484 ind 10.2k lfecp10e-4fn484i 288 -4 lead-free fpbga 484 ind 10.2k lfecp10e-3fn256i 195 -3 lead-free fpbga 256 ind 10.2k lfecp10e-4fn256i 195 -4 lead-free fpbga 256 ind 10.2k lfecp10e-3qn208i 147 -3 lead-free fpbga 208 ind 10.2k lfecp10e-4qn208i 147 -4 lead-free fpbga 208 ind 10.2k part number i/os grade package pins/balls temp. luts lfecp15e-3fn484i 352 -3 lead-free fpbga 484 ind 15.3k lfecp15e-4fn484i 352 -4 lead-free fpbga 484 ind 15.3k lfecp15e-3fn256i 195 -3 lead-free fpbga 256 ind 15.3k lfecp15e-4fn256i 195 -4 lead-free fpbga 256 ind 15.3k part number i/os grade package pins/balls temp. luts lfecp20e-3fn672i 400 -3 lead-free fpbga 672 ind 19.7k lfecp20e-4fn672i 400 -4 lead-free fpbga 672 ind 19.7k lfecp20e-3fn484i 400 -3 lead-free fpbga 484 ind 19.7k lfecp20e-4fn484i 400 -4 lead-free fpbga 484 ind 19.7k part number i/os grade package pins/balls temp. luts lfecp33e-3fn672i 496 -3 lead-free fpbga 672 ind 32.8k lfecp33e-4fn672i 496 -4 lead-free fpbga 672 ind 32.8k lfecp33e-3fn484i 360 -3 lead-free fpbga 484 ind 32.8k lfecp33e-4fn484i 360 -4 lead-free fpbga 484 ind 32.8k latticeecp industrial (continued) part number i/os grade package pins/balls temp. luts
december 2004 preliminary data sheet ?2004 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. www.latticesemi.com 6-1 further info_01.2 for further information a variety of technical notes for the latticeecp/ec family are available on the lattice web site at www .latticesemi.com . latticeecp/ec sysio usage guide (tn1056) latticeecp/ec sysclock pll design and usage guide (tn1049) memory usage guide for latticeecp/ec devices (tn1051) latticeecp/ec ddr usage guide (tn1050) estimating power using power calculator for latticeecp/ec devices (tn1052) sysdsp/mac usage guide (tn1057) latticeecp/ec sysconfig usage guide (tn1053) ieee 1149.1 boundary scan testability in lattice devices for further information on interface standards refer to the following web sites: jedec standards (lvttl, lvcmos, sstl, hstl): www .jedec.org pci: ww .pcisig.com latticeecp/ec family data sheet supplemental information


▲Up To Search▲   

 
Price & Availability of LFECP3E-4FN256C

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X